Electronics: circuits and components Books
Springer Nature Switzerland AG Computing at the EDGE: New Challenges for Service
Book SynopsisThis book describes solutions to the problems of energy efficiency, resiliency and cyber security in the domain of Edge Computing and reports on early deployments of the technology in commercial settings. This book takes a business focused view, relating the technological outcomes to new business opportunities made possible by the edge paradigm. Drawing on the experience of end user deploying prototype edge technology, the authors discuss applications in financial management, wireless management, and social networks. Coverage includes a chapter on the analysis of total cost of ownership, thereby enabling readers to calculate the efficiency gain for use of the technology in their business. Provides a single-source reference to the state-of-the art of edge computing; Describes how researchers across the world are addressing challenges relating to power efficiency, ease of programming and emerging cyber security threats in this domain; Discusses total cost of ownership for applications in financial management and social networks; Discusses security challenges in wireless management. Table of ContentsIntroduction.- How edge computing came into being.- Hardware challenges to enable power efficiency in cores and memories.- Developing new firmware.- Changes needed in the hypervisor to enable resilience in OpenStack.- Security challenges.- Use cases deploying prototype edge technology.
£40.49
Springer Nature Switzerland AG Computational Electronic Circuits: Simulation and
Book SynopsisThis textbook teaches in one, coherent presentation the three distinct topics of analysis of electronic circuits, mathematical numerical algorithms and coding in a software such as MATLAB®. By combining the capabilities of circuit simulators and mathematical software, the author teaches key concepts of circuit analysis and algorithms, using a modern approach. The DC, Transient, AC, Noise and behavioral analyses are implemented in MATLAB to study the complete characteristics of a variety of electronic circuits, such as amplifiers, rectifiers, hysteresis circuits, harmonic traps and passes, polyphaser filters, directional couplers, electro-static discharge and piezoelectric crystals. This book teaches basic and advanced circuit analysis, by incorporating algorithms and simulations that teach readers how to develop their own simulators and fully characterize and design electronic circuits. Teaches students and practitioners DC, AC, Transient, Noise and Behavioral analyses using MATLAB; Shows readers how to create their own complete simulator in MATLAB by adding materials learned in all 6 chapters of the book; Balances theory, math and analysis; Introduces many examples such as noise minimization, parameter optimization, power splitters, harmonic traps and passes, directional couplers, polyphase filters and electro-static discharge that are hardly referenced in other textbooks; Teaches how to create the fundamental analysis functions such as linear and nonlinear equation solvers, determinant calculation, random number generation and Fast Fourier transformation rather than using the built-in native MATLAB codes. Table of ContentsIntroduction.- Framework.- DC Analysis.- Transient Analysis.- AC Analysis.- Noise Analysis.- Behavioral Analysis.
£62.99
Springer Nature Switzerland AG Radio Receivers for Systems of Fixed and Mobile
Book SynopsisThe textbook acquaints the reader with the architecture of receivers of analog and digital radio systems, helps to study the stages of designing a modern radio receiver and reveals the reasons and methods for its effective operation in networks for various purposes. Particular attention is paid to the methods of generating and processing signals in the receivers of digital systems with multiple access, which make it possible to provide data transfer rates close to the maximum possible (according to Shannon). As a textbook for students studying methods of optimal signal reception, the book will also be useful to specialists in the field of telecommunications involved in the development of radio receivers. The book shows how the development of theoretical, circuitry and integrated technologies led to the active introduction of algorithmic methods for signal processing changed both the design of receivers and the methods of forming the information flow in free space (MIMO, beamforming). The creation of a global 5G network based on heterogeneous networks puts forward new requirements for the architecture of receivers, which are determined by the requirements to achieve high data rates, low time delays or use in networks with coordinated multipoint transmission and reception (CoMP). To consolidate the knowledge gained, the book includes a complete set of materials for online classes, including questions and answers, a guide to solving problems for each chapter, and computer modeling units of receivers in the MicroCAP environment, based on preliminary calculations.Table of ContentsChapter 1. Radio Systems and Radio Signals.- Chapter 2. Systems and Networks of Wireless Communications.- Chapter 3. Architectures of Modern Radio Receivers.- Chapter 4. Noise Immunity of Radio Receivers.- Chapter 5. Technical Indicators of Devices for Radio Signal Reception and Processing.- Chapter 6. The MicroCAP12 System for Circuit Modeling.
£71.24
Springer Nature Switzerland AG Behavioral Synthesis for Hardware Security
Book SynopsisThis book presents state-of-the-art research results from leading electronic design automation (EDA) researchers on automated approaches for generating cyber-secure, smart hardware. The authors first provide brief background on high-level synthesis principles and motivate the need for secure design during behavioral synthesis. Then they provide readers with synthesis techniques for six automated security solutions, namely, hardware obfuscation, hardware Trojan detection, IP watermarking, state encoding, side channel attack resistance, and information flow tracking. Provides a single-source reference to behavioral synthesis for hardware security; Describes automatic synthesis techniques for algorithmic obfuscation, using code transformations; Includes behavioral synthesis techniques for intellectual property protection. Table of ContentsIntroduction.- Background.- Techniques for algorithm-level obfuscation during high-level synthesis.- High-level synthesis of key based obfuscated RTL datapaths.- RTL Hardware IP protection Using Key-Based Control and Data Flow Obfuscation.- Empirical Word-Level Analysis of Arithmetic Module Architectures for Hardware Trojan Susceptibility.- Behavioral synthesis techniques for intellectual property protection.- Exploring Low Cost Optimal Watermark for Reusable IP Cores During High Level Synthesis.- High-Level Synthesis for Side-Channel Defense.- On state encoding against power analysis attacks for finite state controllers.- Examining the consequences of high-level synthesis optimizations on power side-channel.- Towards a timing attack aware high-level synthesis of integrated circuits.- High-Level Synthesis with Timing-Sensitive Information Flow Enforcement.- Mitigating information leakage during critical communication using S*FSM.- Shielding Heterogeneous MPSoCs From Untrustworthy 3PIPs Through Security-Driven Task Scheduling.- Securing industrial control system with high level synthesis.- Conclusions and open research problems.
£98.99
Springer Nature Switzerland AG Springer Handbook of Semiconductor Devices
Book SynopsisThis Springer Handbook comprehensively covers the topic of semiconductor devices, embracing all aspects from theoretical background to fabrication, modeling, and applications.Nearly 100 leading scientists from industry and academia were selected to write the handbook's chapters, which were conceived for professionals and practitioners, material scientists, physicists and electrical engineers working at universities, industrial R&D, and manufacturers.Starting from the description of the relevant technological aspects and fabrication steps, the handbook proceeds with a section fully devoted to the main conventional semiconductor devices like, e.g., bipolar transistors and MOS capacitors and transistors, used in the production of the standard integrated circuits, and the corresponding physical models. In the subsequent chapters, the scaling issues of the semiconductor-device technology are addressed, followed by the description of novel concept-based semiconductor devices. The last section illustrates the numerical simulation methods ranging from the fabrication processes to the device performances.Each chapter is self-contained, and refers to related topics treated in other chapters when necessary, so that the reader interested in a specific subject can easily identify a personal reading path through the vast contents of the handbook.Table of ContentsFabrication, characterization, and scaling issues.- Crystal growth.- Silicon oxidation.- Epitaxy.- Physical/chemical vapor deposition.- Lithography.- Etching.- Ion implantation.- Thermal diffusion.- Interconnects.- Diagnostic techniques.- Bipolar and MOS devices.- p-n and Schottky-barrier diodes.- MOS capacitors and charge-coupled devices.- Bipolar and MOS transistors.- Power devices.- Non-volatile memories.- Sensors.- Photodetectors.- Light sources.- Semiconductor lasers.- Measuring techniques.- New-generation devices.- Devices in 2D-layered materials.- Resonant-tunneling hetero-structures.- Nanotube and nanoribbon field-effect transistors.- Phase-Change Memories.- Resistive Memories.- Spin-transfer devices.- Piezoelectric devices.- Process and device modeling.- Numerical methods for the process steps.- Compact models.- Drift-diffusion models.- Hydrodynamic models.- Spherical-Harmonic expansion.- Quantum ballistic models.- Non-equilibrium Green function.- Finite-difference and exponential-fitting schemes.
£275.49
Springer Nature Switzerland AG Silicon Sensors and Actuators: The Feynman
Book SynopsisThis book thoroughly reviews the present knowledge on silicon micromechanical transducers and addresses emerging and future technology challenges. Readers will acquire a solid theoretical and practical background that will allow them to analyze the key performance aspects of devices, critically judge a fabrication process, and then conceive and design new ones for future applications. Envisioning a future complex versatile microsystem, the authors take inspiration from Richard Feynman’s visionary talk “There is Plenty of Room at the Bottom” to propose that the time has come to see silicon sensors as part of a “Feynman Roadmap” instead of the “More-than-Moore” technology roadmap. The sharing of the author’s industrially proven track record of development, design, and manufacturing, along with their visionary approach to the technology, will allow readers to jump ahead in their understanding of the core of the topic in a very effective way. Students, researchers, engineers, and technologists involved in silicon-based sensor and actuator research and development will find a wealth of useful and groundbreaking information in this book.Table of Contents1. Silicon as Sensor Material2. Epitaxial Growth3. Thin Film Deposition4. Thin Films Characterization & Metrology5. Dry silicon etch 6. Lithography7. HF Release 8. Galvanic growth9. Wet Etch and Cleaning 10. Piezoelectric materials 11. Wafer to wafer Bonding12. Linear and non linear mechanichs in MEMS 13. Inertial sensors 14. Magnetometer15. MEMS microphones 16. Pressure Sensors17. Enviromental Sensors18. Mirror19. Piezo ink jet printers20. Speakers21. Autofocus22. Electronic sensors front-end23. Electronic Interfaces for actuators24. Package25. Testing26. Reliability27. The future of sensor and actuators
£66.49
Springer Nature Switzerland AG SSA-based Compiler Design
Book SynopsisThis book provides readers with a single-source reference to static-single assignment(SSA)-based compiler design. It is the first (and up to now only) book that coversin a deep and comprehensive way how an optimizing compiler can be designed usingthe SSA form. After introducing vanilla SSA and its main properties, the authorsdescribe several compiler analyses and optimizations under this form. They illustratehow compiler design can be made simpler and more efficient, thanks to the SSA form.This book also serves as a valuable text/reference for lecturers, making the teaching ofcompilers simpler and more effective. Coverage also includes advanced topics, such ascode generation, aliasing, predication and more, making this book a valuable referencefor advanced students and practicing engineers. Table of Contents1. Introduction 2. Properties and flavors3. Standard Construction and Destruction Algorithms4. Advanced Construction Algorithms for SSA5. SSA Reconstruction6. Functional Representations of SSA7. Introduction8. Propagating Information using SSA9. Liveness10. Loop Tree and Induction Variables11. Redundancy Elimination12. Introduction13. Static Single Information form 14. Graphs and Gating Functions 15. Psi-SSA Form 16. Hashed SSA form: HSSA 17. Array SSA Form 18. SSA Form and Code Generation 19. Instruction Code Selection 20. If-Conversion 21. SSA Destruction for Machine Code 22. Register Allocation 23. Hardware Compilation using SSA 24. Building SSA in a Compiler for PHP
£85.49
Springer Nature Switzerland AG Electronic Devices, Circuits, and Applications
Book SynopsisThis textbook for a one-semester course in Electrical Circuits and Devices is written to be concise, understandable, and applicable. Every new concept is illustrated with numerous examples and figures, in order to facilitate learning. The simple and clear style of presentation is complemented by a spiral and modular approach to the topic. This method supports the learning of those who are new to the field, as well as provides in-depth coverage for those who are more experienced. The author discusses electronic devices using a spiral approach, in which key devices such as diodes and transistors are first covered with simple models that beginning students can easily understand. After the reader has grasped the fundamental concepts, the topics are covered again with greater depth in the latter chapters.Table of ContentsInterpreting I-V Curves Introduction to the Diode Semiconductor Physics Introduction to the BJT Introduction to MOSFETs Single Transistor Amplifiers PMOS & CMOS Frequency Response Device Physics Revisited Diode Circuits Diode & BJT Equations Practical Tips in ElectronicsIndex
£61.74
Springer Nature Switzerland AG Power System Analysis: Practice Problems,
Book SynopsisThis study guide is designed for students taking courses in electric power system analysis. The textbook includes examples, questions, and exercises that will help electric power engineering students to review and sharpen their knowledge of the subject and enhance their performance in the classroom. Offering detailed solutions, multiple methods for solving problems, and clear explanations of concepts, this hands-on guide will improve student’s problem-solving skills and basic and advanced understanding of the topics covered in power system analysis courses.Table of ContentsProblems: Principal Concepts of Power Systems.- Solutions of Problems: Principal Concepts of Power Systems.- Problems: Transmission Lines Parameters.- Solutions of Problems: Transmission Line Parameters.- Problems: Transmission Line Model and Performance.- Solutions of Problems: Transmission Line Model and Performance.- Problems: Power System Component Modelling and Network Impedance and Admittance Matrices Determination.- Solutions of Problems: Power System Component Modelling and Network Impedance and Admittance Matrices Determination.- Problems: Load Flow.- Solutions of Problems: Load Flow.- Problems: Economic Load Dispatch.- Solutions of Problems: Economic Load Dispatch.- Problems: Electric Distribution Systems.- Solutions of Problems: Electric Distribution Systems.
£42.74
Springer Nature Switzerland AG E-Mobility: A New Era in Automotive Technology
Book SynopsisThe book provides easy interpretable explanations for the key technologies involved in Electric Vehicles and Hybrid Electric Vehicles. The authors discuss the various electrical machines, drives, and controls used in EV and HEV. The book provides a detailed coverage of Regenerative Braking Systems used in EV and HEV. The book also illustrates the battery technology and battery management systems in EV and HEV. This book is intended for academicians, researchers and industrialists. In addition, this book has the following features Discusses the various Economic and Environmental Impact of Electric and Hybrid Electric Vehicles Discusses the role of Artificial Intelligence in Electric / Hybrid Electric Vehicles Illustrates the concept of Vehicle to Grid Technology and the smart charging station infrastructure and issues involved in the same Elucidates the concept of Internet of Vehicles Presents the latest research and applications in alternate energy vehicles Table of ContentsIntroduction.- Introduction to Electric Vehicles.- Introduction to Hybrid Electric Vehicles.- Economic and Environmental Impact of Electric and Hybrid Electric Vehicles.- Basic Architecture of Electric Drive Trains.- Battery Technology for EVs and HEVs.- Battery Management System.- Brake System of EVs and HEVs.- Fuel Cell Vehicles.- Electric Motors and Motor Controllers for EVs and HEVs.- Electric Drive Systems for EVs and HEVs.- Control System for Electric and Hybrid Electric Vehicle.- Role of Artificial Intelligence in EVs and HEVs.- Power Optimization in EVs.- Charging Infrastructure for EVs and HEVs.- Vehicle to Grid Architecture.- Conclusion.
£98.99
Springer Nature Switzerland AG Theory and Practice of Thermal Transient Testing
Book SynopsisThis book discusses the significant aspects of thermal transient testing, the most important method of thermal characterization of electronics available today. The book presents the theoretical background of creating structure functions from the measured results with mathematical details. It then shows how the method can be used for thermal qualification, structure integrity testing, determining material parameters, and calibrating simulation models. General practical questions about measurements are discussed to help beginners carry out thermal transient testing. The particular problems and tricks of measuring with various electronic components, such as Si diodes, bipolar transistors, MOS transistors, IGBT devices, resistors, capacitors, wide bandgap materials, and LEDs, are covered in detail with the help of various use cases. This hands-on book will enable readers to accomplish thermal transient testing on any new type of electronics and provides the theoretical details needed to understand the opportunities and limitations offered by the methodology. The book will be an invaluable reference for practicing engineers, students, and researchers.Table of ContentsIntroduction: the Importance and Motivation.- Theoretical Background: History, the Network Identification by Deconvolution (NID) Method, Structure Functions, the Thermal Signature.- The Use of Thermal Transient Testing.- General Practical Questions and the Flow of Thermal Transient Measurements.- On the Accuracy and Repeatability of Thermal Measurements.
£85.49
Springer Nature Switzerland AG Formal Verification of Floating-Point Hardware
Book SynopsisThis is the first book to focus on the problem of ensuring the correctness of floating-point hardware designs through mathematical methods. Formal Verification of Floating-Point Hardware Design, Second Edition advances a verification methodology based on a unified theory of register-transfer logic and floating-point arithmetic that has been developed and applied to the formal verification of commercial floating-point units over the course of more than two decades, during which the author was employed by several major microprocessor design companies. The theory is extended to the analysis of several algorithms and optimization techniques that are commonly used in commercial implementations of elementary arithmetic operations. As a basis for the formal verification of such implementations, high-level specifications of the basic arithmetic instructions of several major industry-standard floating-point architectures are presented, including all details pertaining to the handling of exceptional conditions. The methodology is illustrated in the comprehensive verification of a variety of state-of-the-art commercial floating-point designs developed by Arm Holdings. This revised edition reflects the evolving microarchitectures and increasing sophistication of Arm processors, and the variation in the design goals of execution speed, hardware area requirements, and power consumption. Many new results have been added to Parts I—III (Register-Transfer Logic, Floating-Point Arithmetic, and Implementation of Elementary Operations), extending the theory and describing new techniques. These were derived as required in the verification of the new RTL designs described in Part V. Table of ContentsPart I - Register-Transfer Logic.- Basic Arithmetic Functions.- Bit Vectors.- Logical Operations.- Part II - Floating-Point Arithmetic.- Floating-Point Numbers.- Floating-Point Formats.- Rounding.- IEEE-Compliant Square Root.- Part III - Implementation of Elementary Operations.- Addition.- Multiplication.- SRT Division and Square Root.- FMA-Based Division.- Part IV - Comparative Architectures: SSE, x87, and Arm.- SSE Floating-Point Instructions.- x87 Instructions.- Arm Floating-Point.- Instructions.- Part V - Formal Verification of RTL Designs.- The RAC Modeling Language.- Double-Precision Multiplication and Scaling.- Double-Precision Addition and FMA.- Multi-Precision Radix-8 SRT Division.- 64-bit Integer Division.- Multi-Precision Radix-4 SRT Square Root.- Multi-Precision Radix-2 SRT Division.- Fused Multiply-Add of a Graphics Processor.
£107.99
Springer Nature Switzerland AG Logic Functions and Equations: Fundamentals and
Book Synopsis The greatly expanded and updated 3rd edition of this textbook offers the reader a comprehensive introduction to the concepts of logic functions and equations and their applications across computer science and engineering. The authors’ approach emphasizes a thorough understanding of the fundamental principles as well as numerical and computer-based solution methods. The book provides insight into applications across propositional logic, binary arithmetic, coding, cryptography, complexity, logic design, and artificial intelligence.Updated throughout, some major additions for the 3rd edition include: a new chapter about the concepts contributing to the power of XBOOLE; a new chapter that introduces into the application of the XBOOLE-Monitor XBM 2; many tasks that support the readers in amplifying the learned content at the end of the chapters; solutions of a large subset of these tasks to confirm learning success; challenging tasks that need the power of the XBOOLE software for their solution. The XBOOLE-monitor XBM 2 software is used to solve the exercises; in this way the time-consuming and error-prone manipulation on the bit level is moved to an ordinary PC, more realistic tasks can be solved, and the challenges of thinking about algorithms leads to a higher level of education.Table of ContentsPart I Theoretical Foundations 1. Basic Algebraic Structures 2. Logic Functions 3. Logic Equations 4. Boolean Differential Calculus 5. Sets, Lattices, and Classes Logic Functions Part II Applications 6. Logics, Arithmetic, and Special Functions 7. SAT-Problems 8. Extremely Complex Problems 9. Combinational Circuits 10. Sequential Circuits References Index
£56.99
Springer Nature Switzerland AG Essential Circuit Analysis using NI Multisim™ and
Book SynopsisThis textbook provides a compact but comprehensive treatment that guides students through the analysis of circuits, using NI Multisim™ and MATLAB®. Ideal as a hands-on source for courses in Circuits, Electronics, Digital Logic and Power Electronics this text focuses on solving problems using market-standard software, corresponding to all key concepts covered in the classroom. The author uses his extensive classroom experience to guide students toward deeper understanding of key concepts, while they gain facility with software they will need to master for later studies and practical use in their engineering careers.Table of ContentsEssentials of MATLAB®: basic operations on real numbers; operations on complex numbers; differentiation/integration; roots of equations; solution of ordinary differential equations; Fast Fourier Transform (FFT), drawing different types of graphs; control statements; optimization.- Essentials of Simulink®: modelling of dynamical systems; circuit analysis.- Essentials of Multisim™: basic resistive circuits; first and second order circuits; diode circuits (clamp circuit, rectifier, etc); amplifiers (common base/emitter/collector, differential); calculation of gain, frequency response, etc.); op-amp circuits (Filters, amplifiers, oscillators); transmission lines and digital circuits.
£42.74
Springer Nature Switzerland AG Essential Circuit Analysis using NI Multisim™ and
Book SynopsisThis textbook provides a compact but comprehensive treatment that guides students through the analysis of circuits, using NI Multisim™ and MATLAB®. Ideal as a hands-on source for courses in Circuits, Electronics, Digital Logic and Power Electronics this text focuses on solving problems using market-standard software, corresponding to all key concepts covered in the classroom. The author uses his extensive classroom experience to guide students toward deeper understanding of key concepts, while they gain facility with software they will need to master for later studies and practical use in their engineering careers.Table of ContentsEssentials of MATLAB®: basic operations on real numbers; operations on complex numbers; differentiation/integration; roots of equations; solution of ordinary differential equations; Fast Fourier Transform (FFT), drawing different types of graphs; control statements; optimization.- Essentials of Simulink®: modelling of dynamical systems; circuit analysis.- Essentials of Multisim™: basic resistive circuits; first and second order circuits; diode circuits (clamp circuit, rectifier, etc); amplifiers (common base/emitter/collector, differential); calculation of gain, frequency response, etc.); op-amp circuits (Filters, amplifiers, oscillators); transmission lines and digital circuits.
£40.49
Springer Nature Switzerland AG Recurrent Neural Networks: From Simple to Gated
Book SynopsisThis textbook provides a compact but comprehensive treatment that provides analytical and design steps to recurrent neural networks from scratch. It provides a treatment of the general recurrent neural networks with principled methods for training that render the (generalized) backpropagation through time (BPTT). This author focuses on the basics and nuances of recurrent neural networks, providing technical and principled treatment of the subject, with a view toward using coding and deep learning computational frameworks, e.g., Python and Tensorflow-Keras. Recurrent neural networks are treated holistically from simple to gated architectures, adopting the technical machinery of adaptive non-convex optimization with dynamic constraints to leverage its systematic power in organizing the learning and training processes. This permits the flow of concepts and techniques that provide grounded support for design and training choices. The author’s approach enables strategic co-training of output layers, using supervised learning, and hidden layers, using unsupervised learning, to generate more efficient internal representations and accuracy performance. As a result, readers will be enabled to create designs tailoring proficient procedures for recurrent neural networks in their targeted applications.Table of ContentsIntroduction1. Network Architectures2. Learning Processes3. Recurrent Neural Networks (RNN)4. Gated RNN: The Long Short-Term Memory (LSTM) RNN5. Gated RNN: The Gated Recurrent Unit (GRU) RNN6. Gated RNN: The Minimal Gated Unit (MGU) RNN
£42.74
Springer Nature Switzerland AG Analog-to-Digital Conversion
Book SynopsisThis textbook is appropriate for use in graduate-level curricula in analog-to-digital conversion, as well as for practicing engineers in need of a state-of-the-art reference on data converters. It discusses various analog-to-digital conversion principles, including sampling, quantization, reference generation, Nyquist architectures and sigma-delta modulation. This book presents an overview of the state-of-the-art in this field and focuses on issues of optimizing accuracy and speed, while reducing the power level. This new, fourth edition emphasizes novel calibration concepts, the specific requirements of systems, the consequences of advanced technology and the need for a more statistical approach to accuracy. Pedagogical enhancements to this edition include additional, new exercises, solved examples to introduce all key, new concepts and warnings, remarks and hints, from a practitioner's perspective, wherever appropriate. Considerable background information and practical tips, from designing a PCB, to lay-out aspects, to trade-offs on system level, complement the discussion of basic principles, making this book a valuable reference for the experienced engineer.Table of ContentsIntroduction.- Basics.- Sampling and Sample-and-hold circuits.- Quantization.- Accuracy.- Reference circuits.- Digital-to-analog conversion.- Nyquist Analog-to-digital conversion.- Time-interleaved structures.- Sigma-delta modulation.- Characterization and specification.
£49.49
Springer Nature Switzerland AG Advanced X-Ray Radiation Detection:: Medical
Book SynopsisThis book offers readers an overview of some of the most recent advances in the field of technology for X-ray medical imaging. Coverage includes both technology and applications in SPECT, PET and CT, with an in-depth review of the research topics from leading specialists in the field. Coverage includes conversion of the X-ray signal into analogue/digital value, as well as a review of CMOS chips for X-ray image sensors. Emphasis is on high-Z materials like CdTe, CZT and GaAs, since they offer the best implementation possibilities for direct conversion X-ray detectors. The discussion includes material challenges, detector operation physics and technology and readout integrated circuits required to detect signals processes by high-Z sensors. Authors contrast these emerging technologies with more established ones based on scintillator materials. This book is an excellent reference for people already working in the field as well as for people wishing to enter it.Table of ContentsX-ray Detectors in Medical Imaging.- Modelling spectroscopic performance of pixelated semiconductor detectors through Monte-Carlo simulation.- Status of DEXA Instrumentation Using Direct and Indirect Detectors.- CZT Detectors for Nuclear Medicine.- Positron Emission Tomography (PET) Imaging Based on Sub-Millimeter Pixelated CdZnTe Detectors.- Medical Photon-Counting CT – Status and Clinical Applications Review.- Multi-material decomposition (m-MD) based spectral imaging in photon-counting CT.- X-ray Multispectral CT Imaging by Projection Sequences Blind Separation based on Basis-effect or Basis-material decomposition.- Direct Iterative Basis Image Reconstruction Based on MAP-EM Algorithm for Spectral CT.- Linearly Polarized X-ray Fluorescence Computed Tomography with a Photon Counting Detector.- Detector shift iteration method for improving spatial resolution and suppressing pixel value distortion in direct and indirect X-ray detectors.- A new method of estimating incident x-ray spectra with photon counting detectors using a limited number of energy bins with dedicated clinical x-ray imaging systems.
£67.49
Springer Nature Switzerland AG Security of Biochip Cyberphysical Systems
Book SynopsisThis book provides readers with a valuable guide to understanding security and the interplay of computer science, microfluidics, and biochemistry in a biochip cyberphysical system (CPS). The authors uncover new, potential threat and trust-issues to address, as this emerging technology is poised to be adapted at a large scale. Readers will learn how to secure biochip CPS by leveraging the available resources in different application contexts, as well as how to ensure intellectual property (IP) is protected against theft and counterfeits. This book enables secure biochip CPS design by helping bridge the knowledge gap at the intersection of the multi-disciplinary technology that drives biochip CPS.Table of ContentsIntroduction.- Threat landscape.- Architecture for Security.- Tools for Security.- Watermarking of Bio-IP.- Obfuscation of Bio-IP.- Conclusion.
£62.99
Springer Nature Switzerland AG Security of Biochip Cyberphysical Systems
Book SynopsisThis book provides readers with a valuable guide to understanding security and the interplay of computer science, microfluidics, and biochemistry in a biochip cyberphysical system (CPS). The authors uncover new, potential threat and trust-issues to address, as this emerging technology is poised to be adapted at a large scale. Readers will learn how to secure biochip CPS by leveraging the available resources in different application contexts, as well as how to ensure intellectual property (IP) is protected against theft and counterfeits. This book enables secure biochip CPS design by helping bridge the knowledge gap at the intersection of the multi-disciplinary technology that drives biochip CPS.Table of ContentsIntroduction.- Threat landscape.- Architecture for Security.- Tools for Security.- Watermarking of Bio-IP.- Obfuscation of Bio-IP.- Conclusion.
£49.49
Springer Nature Switzerland AG Computer Systems: Digital Design, Fundamentals of
Book SynopsisThis updated textbook covers digital design, fundamentals of computer architecture, and ARM assembly language. The book starts by introducing computer abstraction, basic number systems, character coding, basic knowledge in digital design, and components of a computer. The book goes on to discuss information representation in computing, Boolean algebra and logic gates, and sequential logic. The book also presents introduction to computer architecture, Cache mapping methods, and virtual memory. The author also covers ARM architecture, ARM instructions, ARM assembly language using Keil development tools, and bitwise control structure using C and ARM assembly language. The book includes a set of laboratory experiments related to digital design using Logisim software and ARM assembly language programming using Keil development tools. In addition, each chapter features objectives, summaries, key terms, review questions, and problems.Table of ContentsChapter1: Signal and number systems.- Chapter2: Boolean Logics and Logic Gates.- Chapter3: Minterms, Maxterms, Karnaugh Map (K-Map), and Universal Gates.- Chapter4: Combinational Logic.- Chapter5: Synchronous Sequential Logic.- Chapter6: Introduction to Computer Architecture.- Chapter7: Memory.- Chapter8: Assembly Language and ARM Instructions Part I.- Chapter9: ARM Assembly Language Programming Using Keil Development Tools.- Chapter10: ARM Instructions Part II and Instraction Formats.- Chapter11: Bitwise and Control Structures Used for Programming with C and ARM Assembly Language.
£61.74
Springer Nature Switzerland AG Computer Systems: Digital Design, Fundamentals of
Book SynopsisThis updated textbook covers digital design, fundamentals of computer architecture, and ARM assembly language. The book starts by introducing computer abstraction, basic number systems, character coding, basic knowledge in digital design, and components of a computer. The book goes on to discuss information representation in computing, Boolean algebra and logic gates, and sequential logic. The book also presents introduction to computer architecture, Cache mapping methods, and virtual memory. The author also covers ARM architecture, ARM instructions, ARM assembly language using Keil development tools, and bitwise control structure using C and ARM assembly language. The book includes a set of laboratory experiments related to digital design using Logisim software and ARM assembly language programming using Keil development tools. In addition, each chapter features objectives, summaries, key terms, review questions, and problems.Table of ContentsChapter1: Signal and number systems.- Chapter2: Boolean Logics and Logic Gates.- Chapter3: Minterms, Maxterms, Karnaugh Map (K-Map), and Universal Gates.- Chapter4: Combinational Logic.- Chapter5: Synchronous Sequential Logic.- Chapter6: Introduction to Computer Architecture.- Chapter7: Memory.- Chapter8: Assembly Language and ARM Instructions Part I.- Chapter9: ARM Assembly Language Programming Using Keil Development Tools.- Chapter10: ARM Instructions Part II and Instraction Formats.- Chapter11: Bitwise and Control Structures Used for Programming with C and ARM Assembly Language.
£44.99
Springer Nature Switzerland AG Mapping Innovation: The Discipline of Building
Book SynopsisThis book is an eye-opener for businesses unveiling how technology trends can be deployed to redesign products, services and processes. The authors provide business opportunities based on technological innovation across 10 industrial sectors in easy to read case studies. Each case study is a story that narrates the potential and influence of a technological innovation on an enterprise, by defining the challenges faced, the type of technology adopted, and the impact. Provides readers with compelling reasons for implementing technology trends in industrial value chains; Written in a simple, easy to read and exciting manner to be accessible to readers with different backgrounds and interests; Uses a single, structured paradigm in all the case studies. Table of Contents1-Agriculture 2-Automotive 3-Banking And Financial Services 4-Construction 5-Education 6-Food And Beverage 7-Healthcare 8-Media And Entertainment 9-Oil And Gas 10-Printing And Packaging 11-Real-Estate 12-Retail 13-Telecommunications 14-Textiles 15-Tourism
£40.49
Springer Nature Switzerland AG Approximate Computing Techniques: From Component-
Book SynopsisThis book serves as a single-source reference to the latest advances in Approximate Computing (AxC), a promising technique for increasing performance or reducing the cost and power consumption of a computing system. The authors discuss the different AxC design and validation techniques, and their integration. They also describe real AxC applications, spanning from mobile to high performance computing and also safety-critical applications. Table of ContentsGeneral introduction Motivations.- Number representations.- Data level approximation.- Dynamic precision scaling.- Hardware level approximation.- Inexact operators.- Computation level approximation - algorithmic level.- Analysis of approximation effect on application quality.- Techniques for finite precision arithmetic.- Compilers and Programming Languages for Approximate Computing.- Design space exploration.- Word-length optimization for fixed-point and floating-point.- HLS of approximate accelerators.- Approximate Computing for IoT Applications.- Approximating Safety-Critical Applications.- Approximate Computing for HPC Applications.
£66.49
Springer Nature Switzerland AG Feedback Control Systems Analysis and Design:
Book SynopsisThis study guide is designed for students taking courses in feedback control systems analysis and design. The textbook includes examples, questions, and exercises that will help electrical engineering students to review and sharpen their knowledge of the subject and enhance their performance in the classroom. Offering detailed solutions, multiple methods for solving problems, and clear explanations of concepts, this hands-on guide will improve student’s problem-solving skills and basic and advanced understanding of the topics covered in these courses.Trade Review“The book is very nicely written and may be suggested for lecturers to help them in lecturing, students for better understanding of the topic and also researchers, as the book is a concise collection of control methods to be used. I strongly support using this book extensively.” (Krzysztof Gałkowski, zbMATH 1490.93001, 2022)Table of Contents1: Problems: Different representations of linear time-invariant (LTI) systems2: Solutions of Problems: Different representations of linear time-invariant (LTI) systems3: Problems: Stability analysis of linear time-invariant (LTI) systems4: Solutions of Problems: Stability analysis of linear time-invariant (LTI) systems5: Problems: Analysis of transient response6: Solutions of Problems: Analysis of transient response7: Problems: Analysis of steady state response8: Solutions of Problems: Analysis of steady state response9: Problems: Graphical analysis and design in time domain10: Solutions of Problems: Graphical analysis and design in time domain11: Problems: Controller design in time domain12: Solutions of Problems: Controller design in time domain
£42.49
Springer Nature Switzerland AG Feedback Control Systems Analysis and Design:
Book SynopsisThis study guide is designed for students taking courses in feedback control systems analysis and design. The textbook includes examples, questions, and exercises that will help electrical engineering students to review and sharpen their knowledge of the subject and enhance their performance in the classroom. Offering detailed solutions, multiple methods for solving problems, and clear explanations of concepts, this hands-on guide will improve student’s problem-solving skills and basic and advanced understanding of the topics covered in these courses.Trade Review“The book is very nicely written and may be suggested for lecturers to help them in lecturing, students for better understanding of the topic and also researchers, as the book is a concise collection of control methods to be used. I strongly support using this book extensively.” (Krzysztof Gałkowski, zbMATH 1490.93001, 2022)Table of Contents1: Problems: Different representations of linear time-invariant (LTI) systems2: Solutions of Problems: Different representations of linear time-invariant (LTI) systems3: Problems: Stability analysis of linear time-invariant (LTI) systems4: Solutions of Problems: Stability analysis of linear time-invariant (LTI) systems5: Problems: Analysis of transient response6: Solutions of Problems: Analysis of transient response7: Problems: Analysis of steady state response8: Solutions of Problems: Analysis of steady state response9: Problems: Graphical analysis and design in time domain10: Solutions of Problems: Graphical analysis and design in time domain11: Problems: Controller design in time domain12: Solutions of Problems: Controller design in time domain
£47.49
Springer Nature Switzerland AG Resistor-based Temperature Sensors in CMOS
Book SynopsisThis book describes the background, principles, implementations, characterization, and future trends of temperature sensors made from silicon resistors in CMOS technology, including their readout circuits. Readers will benefit from the latest research of CMOS temperature sensors, and could learn about various precision analog techniques such as phase detection, continuous-time ΔΣ ADC, zoom ADC, FIR-DAC, dynamic element matching, OTA linearization, etc.Table of Contents1. Introduction2. Sensor and readout topologies3. Wien-bridge-based temperature sensors4. Wheatstone-bridge-based temperature sensors5. Application-driven designs6. Conclusions and outlook.
£66.49
Springer Nature Switzerland AG Imagery Synergetics: Science of Cooperation
Book SynopsisThis text will be replaced by the correThis book is aimed to a broad audience of researchers and students who are interested in questions of structure formation in complex systems in nature and society. When we think of synergetics, impressive images of complex structures immediately come to mind. Such images serve us as starting point and guide for understanding structure formation in chemical, biological, physical, geological, and social systems.Many fascinating pictures of new experimental results illustrate the imagery of synergetics and at the same time enable precise statements about the underlying laws based on precise and discussed measurements. In this way, for example, the famous Runge pictures are accessible to a physico-chemical description, and it turns out that the well-known disintegration of the beer foam satisfies a consecutive kinetics with feedback. The modeling by means of cellular automata and iterated function systems enables us to study the cooperative character of pattern formation on sea shells on the one hand but also to show that creativity is a cooperative effect.ct back cover text / information text as soon as we get it. Table of ContentsImages from the history of synergetics.- Part I: Synergetic view on historic experiments.- The Swinging chromium.- Liesegang structures.- Runge pictures.- Part II: Fractal structure in chemistry and biology.- Fractal metal zinc-trees.- The fractal character of modified zeolites.- Pattern of sea shells modelled by one-dimensional automata.- Part III: Dissipative structures.- Waves which move uphill.- Dissipative sculpturing of beige jasper of the eastern desert of Egypt.- Complex dissipative structures mainly at liquid/liquid and liquid/gas inter-phases.- Cooperation of flow instabilities.- The oscillatory regime of Marangoni-instability.- Part IV: Structure formation in social systems.- Creativity – comments to the scientific process.- Mother Hulda and the Blue Sky Catastrophe.- Part V: Kaleidoscope.- Small contributions to a variety of complex pattern formation processes.
£107.99
Springer Nature Switzerland AG Comparators
Book SynopsisThis book explores circuit designs that accomplish the conversion of an analog signal to a digital signal of a single bit. Starting with the simple comparator, many alternative circuit arrangements and enhancements are elaborated, including hysteresis, negative feedback and a variety of adaptive thresholds. Further, the non-ideal behavior of practical elements and circuits are covered, including input offsets, noise, delay, delay dispersion and oscillation, along with techniques for dealing with these aspects. The wide variety of available components is discussed in terms of performance and applicability. No stone is left unturned in addressing each and every issue that can affect the engineering tasks related to comparators, from the viewpoint of how their performance can affect the system in which they are a critical component.Table of Contents1) Basic Concepts2) Major Applications3) Enhancements4) Non-Ideal Parameters5) Application Details6) Logic Elements7) Comparator Design and Effects
£49.49
Springer Nature Switzerland AG Switched Inductor Power IC Design
Book SynopsisThis textbook uses design insight, real-life examples, illustrative figures, easy-to-follow equations, and simple SPICE code to show how semiconductor devices (diodes, bipolar-junction transistors (BJTs), and metal–oxide–semiconductor (MOS) field-effect transistors (FETs) ) work independently and collectively in switched-inductor power supplies; how these power supplies transfer power, consume power, and react and respond across frequency; how feedback loops switch, control, and stabilize them; and how the building blocks that comprise them are implemented and designed. This book is focused and complete, with a holistic approach and perspective on power IC design that extends from semiconductor devices to fully-closed feedback systems. Readers will develop the insight needed to interpret, assess, and design switched inductor power ICs, which almost all electronic systems need, yet no other book addresses this way.Table of Contents1. Diodes & BJTs 2, Field-Effect Transistors 3. Switched Inductors 4. Power Losses 5. Frequency Response 6. Feedback Control 7. Control Loops 8. Building Blocks
£66.49
Springer Nature Switzerland AG VLSI Physical Design: From Graph Partitioning to
Book SynopsisThe complexity of modern chip design requires extensive use of specialized software throughout the process. To achieve the best results, a user of this software needs a high-level understanding of the underlying mathematical models and algorithms. In addition, a developer of such software must have a keen understanding of relevant computer science aspects, including algorithmic performance bottlenecks and how various algorithms operate and interact. This book introduces and compares the fundamental algorithms that are used during the IC physical design phase, wherein a geometric chip layout is produced starting from an abstract circuit design. This updated second edition includes recent advancements in the state-of-the-art of physical design, and builds upon foundational coverage of essential and fundamental techniques. Numerous examples and tasks with solutions increase the clarity of presentation and facilitate deeper understanding. A comprehensive set of slides is available on the Internet for each chapter, simplifying use of the book in instructional settings.“This improved, second edition of the book will continue to serve the EDA and design community well. It is a foundational text and reference for the next generation of professionals who will be called on to continue the advancement of our chip design tools and design the most advanced micro-electronics.” Dr. Leon Stok, Vice President, Electronic Design Automation, IBM Systems Group“This is the book I wish I had when I taught EDA in the past, and the one I’m using from now on.” Dr. Louis K. Scheffer, Howard Hughes Medical Institute“I would happily use this book when teaching Physical Design. I know of no other work that’s as comprehensive and up-to-date, with algorithmic focus and clear pseudocode for the key algorithms. The book is beautifully designed!”Prof. John P. Hayes, University of Michigan“The entire field of electronic design automation owes the authors a great debt for providing a single coherent source on physical design that is clear and tutorial in nature, while providing details on key state-of-the-art topics such as timing closure.”Prof. Kurt Keutzer, University of California, Berkeley“An excellent balance of the basics and more advanced concepts, presented by top experts in the field.” Prof. Sachin Sapatnekar, University of MinnesotaTable of Contents1 Introduction. 1.1 Electronic Design Automation (EDA). 1.2 VLSI Design Flow. 1.3 VLSI Design Styles. 1.4 Layout Layers and Design Rules. 1.5 Physical Design Optimizations. 1.6 Algorithms and Complexity. 1.7 Graph Theory Terminology. 1.8 Common EDA Terminology. 2 Netlist and System Partitioning. 2.1 Introduction. 2.2 Terminology. 2.3 Optimization Goals. 2.4 Partitioning Algorithms. 2.5 A Framework for Multilevel Partitioning. 2.6 System Partitioning onto Multiple FPGAs. Chapter 2 Exercises.3 Chip Planning. 3.1 Introduction to Floorplanning. 3.2 Optimization Goals in Floorplanning. 3.3 Terminology. 3.4 Floorplan Representations. 3.5 Floorplanning Algorithms. 3.6 Pin Assignment. 3.7 Power and Ground Routing. Chapter 3 Exercises.4 Global and Detailed Placement. 4.1 Introduction. 4.2 Optimization Objectives. 4.3 Global Placement. 4.4 Legalization and Detailed Placement. Chapter 4 Exercises.5 Global Routing. 5.1 Introduction. 5.2 Terminology and Definitions. 5.3 Optimization Goals. 5.4 Representations of Routing Regions. 5.5 The Global Routing Flow. 5.6 Single-Net Routing. 5.7 Full-Netlist Routing. 5.8 Modern Global Routing. Chapter 5 Exercises.6 Detailed Routing. 6.1 Terminology. 6.2 Horizontal and Vertical Constraint Graphs. 6.3 Channel Routing Algorithms. 6.4 Switchbox Routing. 6.5 Over-the-Cell Routing Algorithms. 6.6 Modern Challenges in Detailed Routing. Chapter 6 Exercises.7 Specialized Routing. 7.1 Introduction to Area Routing. 7.2 Net Ordering in Area Routing. 7.3 Non-Manhattan Routing. 7.4 Basic Concepts in Clock Networks. 7.5 Modern Clock Tree Synthesis. Chapter 7 Exercises.8 Timing Closure. 8.1 Introduction. 8.2 Timing Analysis and Performance Constraints. 8.3 Timing-Driven Placement. 8.4 Timing-Driven Routing. 8.5 Physical Synthesis. 8.6 Performance-Driven Design Flow. 8.7 Conclusions. Chapter 8 Exercises. A Solutions to Chapter Exercises. B Example CMOS Cell Layouts.
£66.49
Springer Nature Switzerland AG VLSI Physical Design: From Graph Partitioning to
Book SynopsisThe complexity of modern chip design requires extensive use of specialized software throughout the process. To achieve the best results, a user of this software needs a high-level understanding of the underlying mathematical models and algorithms. In addition, a developer of such software must have a keen understanding of relevant computer science aspects, including algorithmic performance bottlenecks and how various algorithms operate and interact. This book introduces and compares the fundamental algorithms that are used during the IC physical design phase, wherein a geometric chip layout is produced starting from an abstract circuit design. This updated second edition includes recent advancements in the state-of-the-art of physical design, and builds upon foundational coverage of essential and fundamental techniques. Numerous examples and tasks with solutions increase the clarity of presentation and facilitate deeper understanding. A comprehensive set of slides is available on the Internet for each chapter, simplifying use of the book in instructional settings.“This improved, second edition of the book will continue to serve the EDA and design community well. It is a foundational text and reference for the next generation of professionals who will be called on to continue the advancement of our chip design tools and design the most advanced micro-electronics.” Dr. Leon Stok, Vice President, Electronic Design Automation, IBM Systems Group“This is the book I wish I had when I taught EDA in the past, and the one I’m using from now on.” Dr. Louis K. Scheffer, Howard Hughes Medical Institute“I would happily use this book when teaching Physical Design. I know of no other work that’s as comprehensive and up-to-date, with algorithmic focus and clear pseudocode for the key algorithms. The book is beautifully designed!”Prof. John P. Hayes, University of Michigan“The entire field of electronic design automation owes the authors a great debt for providing a single coherent source on physical design that is clear and tutorial in nature, while providing details on key state-of-the-art topics such as timing closure.”Prof. Kurt Keutzer, University of California, Berkeley“An excellent balance of the basics and more advanced concepts, presented by top experts in the field.” Prof. Sachin Sapatnekar, University of MinnesotaTable of Contents1 Introduction. 1.1 Electronic Design Automation (EDA). 1.2 VLSI Design Flow. 1.3 VLSI Design Styles. 1.4 Layout Layers and Design Rules. 1.5 Physical Design Optimizations. 1.6 Algorithms and Complexity. 1.7 Graph Theory Terminology. 1.8 Common EDA Terminology. 2 Netlist and System Partitioning. 2.1 Introduction. 2.2 Terminology. 2.3 Optimization Goals. 2.4 Partitioning Algorithms. 2.5 A Framework for Multilevel Partitioning. 2.6 System Partitioning onto Multiple FPGAs. Chapter 2 Exercises.3 Chip Planning. 3.1 Introduction to Floorplanning. 3.2 Optimization Goals in Floorplanning. 3.3 Terminology. 3.4 Floorplan Representations. 3.5 Floorplanning Algorithms. 3.6 Pin Assignment. 3.7 Power and Ground Routing. Chapter 3 Exercises.4 Global and Detailed Placement. 4.1 Introduction. 4.2 Optimization Objectives. 4.3 Global Placement. 4.4 Legalization and Detailed Placement. Chapter 4 Exercises.5 Global Routing. 5.1 Introduction. 5.2 Terminology and Definitions. 5.3 Optimization Goals. 5.4 Representations of Routing Regions. 5.5 The Global Routing Flow. 5.6 Single-Net Routing. 5.7 Full-Netlist Routing. 5.8 Modern Global Routing. Chapter 5 Exercises.6 Detailed Routing. 6.1 Terminology. 6.2 Horizontal and Vertical Constraint Graphs. 6.3 Channel Routing Algorithms. 6.4 Switchbox Routing. 6.5 Over-the-Cell Routing Algorithms. 6.6 Modern Challenges in Detailed Routing. Chapter 6 Exercises.7 Specialized Routing. 7.1 Introduction to Area Routing. 7.2 Net Ordering in Area Routing. 7.3 Non-Manhattan Routing. 7.4 Basic Concepts in Clock Networks. 7.5 Modern Clock Tree Synthesis. Chapter 7 Exercises.8 Timing Closure. 8.1 Introduction. 8.2 Timing Analysis and Performance Constraints. 8.3 Timing-Driven Placement. 8.4 Timing-Driven Routing. 8.5 Physical Synthesis. 8.6 Performance-Driven Design Flow. 8.7 Conclusions. Chapter 8 Exercises. A Solutions to Chapter Exercises. B Example CMOS Cell Layouts.
£52.24
Springer Nature Switzerland AG Machine Learning for Computer Scientists and Data
Book SynopsisThis textbook introduces readers to the theoretical aspects of machine learning (ML) algorithms, starting from simple neuron basics, through complex neural networks, including generative adversarial neural networks and graph convolution networks. Most importantly, this book helps readers to understand the concepts of ML algorithms and enables them to develop the skills necessary to choose an apt ML algorithm for a problem they wish to solve. In addition, this book includes numerous case studies, ranging from simple time-series forecasting to object recognition and recommender systems using massive databases. Lastly, this book also provides practical implementation examples and assignments for the readers to practice and improve their programming capabilities for the ML applications.Table of ContentsIntroduction.- Metadata Extraction and Data Preprocessing.- Data Exploration.- Practice Exercises.- Supervised Learning.- Unsupervised Learning.- Reinforcement Learning.- Model Evaluation and Optimization.- ML in Computer vision – autonomous driving and object recognition.- ML in Health-care – ECG and EEG analysis.- ML in Embedded Systems – resource management.- ML for Security (Malware).- ML in Big-data Analytics.- ML in Recommender Systems.- ML for Ontology Acquisition from Text and Image Data.- Adversarial Learning.- Graph Adversarial Neural Networks.- Graph Convolutional Networks.- Hardware for Machine Learning.- Software Frameworks.
£85.49
Springer Nature Switzerland AG Machine Learning for Computer Scientists and Data
Book SynopsisThis textbook introduces readers to the theoretical aspects of machine learning (ML) algorithms, starting from simple neuron basics, through complex neural networks, including generative adversarial neural networks and graph convolution networks. Most importantly, this book helps readers to understand the concepts of ML algorithms and enables them to develop the skills necessary to choose an apt ML algorithm for a problem they wish to solve. In addition, this book includes numerous case studies, ranging from simple time-series forecasting to object recognition and recommender systems using massive databases. Lastly, this book also provides practical implementation examples and assignments for the readers to practice and improve their programming capabilities for the ML applications.Table of ContentsIntroduction.- Metadata Extraction and Data Preprocessing.- Data Exploration.- Practice Exercises.- Supervised Learning.- Unsupervised Learning.- Reinforcement Learning.- Model Evaluation and Optimization.- ML in Computer vision – autonomous driving and object recognition.- ML in Health-care – ECG and EEG analysis.- ML in Embedded Systems – resource management.- ML for Security (Malware).- ML in Big-data Analytics.- ML in Recommender Systems.- ML for Ontology Acquisition from Text and Image Data.- Adversarial Learning.- Graph Adversarial Neural Networks.- Graph Convolutional Networks.- Hardware for Machine Learning.- Software Frameworks.
£56.99
Springer Nature Switzerland AG System Architecture Design and Platform
Book SynopsisThis book provides readers with a hands-on guide to system architecture design and platform development strategies for electronic systems. While system architecture design is a sub topic of systems engineering, the author discusses platform development as a matter of strategy, engineering efficiency and quality. This book helps readers by combining system architecture and platform development in a defined way, discussing processes and consequences in the context of the newest developments in technology, processes and management, such as artificial intelligence, agile development, and organizational change. Provides first book to offer a combined perspective on system architecture design and platform development strategy; Offers a systematic, state-of-the-art description of all aspects of system architecture and platform development; Presents system architecture and platform development in the modern context of agile development and artificial intelligence, as it relates to product development in the electronics industry. Table of ContentsIntroduction.- Defining System Architecture Design.- System Architecture Design.- Product Development and Platform Releases.- Quality and Stability.- Time to Market.- Timing and Team Size.- Central Storage.- Payback and Lifecycle.- Support and Training.- Hardware.- Software.- Supplier Management.- Technical Strategy and Innovation.- System Architecture.- Platform Development Strategies.- Special Topics.- Agile Management.- Organizational Development.
£49.49
Springer Nature Switzerland AG SoC Physical Design: A Comprehensive Guide
Book SynopsisSoC Physical Design is a comprehensive practical guide for VLSI designers that thoroughly examines and explains the practical physical design flow of system on chip (SoC). The book covers the rationale behind making design decisions on power, performance, and area (PPA) goals for SoC and explains the required design environment algorithms, design flows, constraints, handoff procedures, and design infrastructure requirements in achieving them. The book reveals challenges likely to be faced at each design process and ways to address them in practical design environments. Advanced topics on 3D ICs, EDA trends, and SOC trends are discussed in later chapters. Coverage also includes advanced physical design techniques followed for deep submicron SOC designs. The book provides aspiring VLSI designers, practicing design engineers, and electrical engineering students with a solid background on the complex physical design requirements of SoCs which are required to contribute effectively in design roles.Table of ContentsIntroduction.- SoC Physical Design Flow and Algorithms.- Physical Design Floor Plan and Placement.- Clock, Reset, and HFN.- Physical Design Routing.- Physical Design Verification.
£62.99
Springer Nature Switzerland AG 3D Interconnect Architectures for Heterogeneous
Book SynopsisThis book describes the first comprehensive approach to the optimization of interconnect architectures in 3D systems on chips (SoCs), specially addressing the challenges and opportunities arising from heterogeneous integration. Readers learn about the physical implications of using heterogeneous 3D technologies for SoC integration, while also learning to maximize the 3D-technology gains, through a physical-effect-aware architecture design. The book provides a deep theoretical background covering all abstraction-levels needed to research and architect tomorrow’s 3D-integrated circuits, an extensive set of optimization methods (for power, performance, area, and yield), as well as an open-source optimization and simulation framework for fast exploration of novel designs.Table of ContentsPart I Introduction1 Introduction to 3D Technologies 1.1 Motivation for Heterogenous 3D ICs 1.2 3D Technologies 1.3 TSV Capacitances—A Problem Resistant to Scaling 1.4 Conclusion 2 Interconnect Architectures for 3D Technologies 2.1 Interconnect Architectures 2.2 Overview of Interconnect Architectures for 3D ICs 2.3 Three-dimensional Networks on chips 2.4 Conclusion Part II 3D Technology Modeling 3 Power and Performance Formulas 3.1 High-Level Formula for the Power Consumption 3.2 High-Level Formula for the Propagation Delay 3.3 Matrix Formulations 3.4 Evaluation 3.5 Conclusion 4 Capacitance Estimation 4.1 Existing Capacitance Models 4.2 Edge and MOS Effects on the TSV Capacitances 4.3 TSV Capacitance Model 4.4 Evaluation 4.5 Conclusion Part III System Modeling xiii xiv Contents 5 Application and Simulation Models 5.1 Overview of the Modeling Approach 5.2 Application Traffic Model 5.3 Simulation Model of 3D NoCs 5.4 Simulator Interfaces 5.5 Conclusion 6 Bit-level Statistics 6.1 Existing Approaches to Estimate the Bit-Level Statistics for Single Data Streams 6.2 Data-Stream Multiplexing 6.3 Bit-Level Statistics with Data-Stream Multiplexing 6.4 Evaluation 6.5 Conclusion 7 Ratatoskr Framework 7.1 Ratatoskr for Practitioners 7.2 Implementation 7.3 Evaluation 7.4 Case Study: Link Power Estimation and Optimization 7.5 Conclusion Part IV 3D-Interconnect Optimization 8 Low-Power Technique for 3D Interconnects 8.1 Fundamental Idea 8.2 Power-Optimal TSV assignment 8.3 Systematic Net-to-TSV Assignments 8.4 Combination with Traditional Low-Power Codes 8.5 Evaluation 8.6 Conclusion 9 Low-Power Technique for High-Performance 3D Interconnects. 9.1 Edge-Effect-Aware Crosstalk Classification 9.2 Existing Approaches and Their Limitations 9.3 Proposed Technique 9.4 Extension to a Low-Power 3D CAC 9.5 Evaluation 9.6 Conclusion 10 Low-Power Technique for High-Performance 3D Interconnects (Misaligned) 10.1 Temporal-Misalignment Effect on the Crosstalk 10.2 Exploiting Misalignment to Improve the Performance 10.3 Effect on the TSV Power Consumption Contents xv 10.4 Evaluation 10.5 Conclusion 11 Low-Power Technique for Yield-Enhanced 3D Interconnects 11.1 Existing TSV Yield-Enhancement Techniques 11.2 Preliminaries—Logical Impact of TSV Faults 11.3 Fundamental Idea 11.4 Formal Problem Description 11.5 TSV Redundancy Schemes 11.6 Evaluation 11.7 Case Study 11.8 Conclusion Part V NoC Optimization for Heterogeneous 3D Integration 12 Heterogeneous Buffering for 3D NoCs251 12.1 Buffer Distributions and Depths 12.2 Routers with Optimized Buffer Distribution 12.3 Routers with Optimized Buffer Depths 12.4 Evaluation 12.5 Discussion 12.6 Conclusion 13 Heterogeneous Routing for 3D NoCs 13.1 Heterogeneity and Routing 13.2 Modeling Heterogeneous Technologies 13.3 Modeling Communication 13.4 Routing Limitations from Heterogeneity 13.5 Heterogeneous Routing Algorithms 13.6 Heterogeneous Router Architectures 13.7 Low-Power Routing in Heterogeneous 3D ICs 13.8 Evaluation 13.9 Discussion 13.10Conclusion 14 Heterogeneous Virtualisation for 3D NoCs 14.1 Problem Description 14.2 Heterogeneous Microarchitectures Exploiting Traffic Imbalance 14.3 Evaluation 14.4 Conclusion 15 Network Synthesis and SoC Floor Planning 15.1 Fundamental Idea 15.2 Modelling and Optimization 15.3 Mixed-Integer Linear Program 15.4 Heuristic Solution xvi Contents 15.5 Evaluation 15.6 Conclusion Part VI Finale 16 Conclusion 16.1 Putting it all together 16.2 Impact on Future Work A Appendix B Pseudo Codes C Method to Calculate the Depletion-Region Widths D Modeling Logical OR Relations
£94.99
Springer Nature Switzerland AG Flexible Bioelectronics with Power Autonomous
Book SynopsisThis book provides readers with an introduction to the materials and devices necessary for flexible sensors and electronics, followed by common techniques for fabrication of such devices and system-level integration. Key insights into fabrication and processing will guide readers through the tradeoff choices in designing such platforms. A comprehensive review of two specific, flexible bioelectronic platforms, related to smart bandages for wound monitoring and thread-based diagnostics for wearable health, will demonstrate practical application at the system level. The book also provides a unique electrical engineering perspective by reviewing circuit architectures for low noise signal conditioning of weak signals from sensors,, and for low power analog to digital converters for signal acquisition. To achieve energy autonomy, authors provide several example of CMOS energy harvesting front end circuits and voltage boosters. Beyond circuit architectures, the book also provides a review of the modern theory of sampling and recovery of sparse signals, also known as compressed sensing. They then highlight how these principles can be leveraged for design and implementation of efficient signal acquisition hardware and reliable processing of acquired data for flexible electronic platforms.Table of ContentsChapter 1. Materials and Processing for Flexible Bioelectronics.- Chapter 2. Sensors and Platforms for Flexible Bioelectronics.- Chapter 3. Low-noise CMOS Signal Conditioning Circuits.- Chapter 4. Data Converters for Wearable Sensor Applications.- Chapter 5. Power Management Circuits for Energy Harvesting.- Chapter 6. Sampling and recovery of signals with spectral sparsity.- Chapter 7. Compressed Sensing.
£49.49
Springer Nature Switzerland AG Basic Transforms for Electrical Engineering
Book SynopsisThe textbook covers the most popular transforms used in electrical engineering along with the mathematical foundations of the transforms, uniquely bringing together the two in a single text. Geared towards an upper-undergraduate or graduate-level class, the book covers the most-used transforms including Fourier, Laplace, Discrete Fourier, z-, short-time Fourier, and discrete cosine transforms. The book includes the complex numbers, complex functions, and complex integration that are fundamental to understand the transforms. The author strives to make the study of the subject approachable by appealing to the use of popular software like LabVIEW virtual instruments, Matlab m-files, and C programming resources. Computer projects at the end of chapters further enhance the learning process. The book is based on the author’s years of teachıng Engineering Mathematics and Signal courses and can be used in both electrical engineering and mathematics curriculum. Presents both electrical engineering transforms and their mathematical foundations in an understandable, pedagogical, and applicable approach; Covers the most common transforms for electronics and communications engineers including Laplace transform, the Fourier transform, STFT, the z-transform; Features LabVIEW virtual instrument (vi) files, LTSpice simulation files, MATLAB m files, and computer projects in the chapter problems. Table of ContentsIntroduction.- I BACKGROUND.- Complex Numbers.- Functions of a Complex Variable.- Complex Integration.- II TRANSFORMS.- The Laplace Transform.- The Fourier Series.- The Fourier Transform.- Short-Time-Fourier Transform.- Fast Fourier Transform.- z Transform.- Discrete Cosine Transform.- Conclusion.
£71.24
Springer Nature Switzerland AG Basic Transforms for Electrical Engineering
Book SynopsisThe textbook covers the most popular transforms used in electrical engineering along with the mathematical foundations of the transforms, uniquely bringing together the two in a single text. Geared towards an upper-undergraduate or graduate-level class, the book covers the most-used transforms including Fourier, Laplace, Discrete Fourier, z-, short-time Fourier, and discrete cosine transforms. The book includes the complex numbers, complex functions, and complex integration that are fundamental to understand the transforms. The author strives to make the study of the subject approachable by appealing to the use of popular software like LabVIEW virtual instruments, Matlab m-files, and C programming resources. Computer projects at the end of chapters further enhance the learning process. The book is based on the author’s years of teachıng Engineering Mathematics and Signal courses and can be used in both electrical engineering and mathematics curriculum. Presents both electrical engineering transforms and their mathematical foundations in an understandable, pedagogical, and applicable approach; Covers the most common transforms for electronics and communications engineers including Laplace transform, the Fourier transform, STFT, the z-transform; Features LabVIEW virtual instrument (vi) files, LTSpice simulation files, MATLAB m files, and computer projects in the chapter problems. Table of ContentsIntroduction.- I BACKGROUND.- Complex Numbers.- Functions of a Complex Variable.- Complex Integration.- II TRANSFORMS.- The Laplace Transform.- The Fourier Series.- The Fourier Transform.- Short-Time-Fourier Transform.- Fast Fourier Transform.- z Transform.- Discrete Cosine Transform.- Conclusion.
£49.49
Springer International Publishing AG Electric Circuit Analysis with EasyEDA
Book SynopsisThis book explains and focuses on analysis of electric circuits using an up-to-date software package. The book is filled with examples that students will see throughout a standard electric circuit course. This book is a good source to accompany and complete theoretical work of professors. The author provides a single-source for anyone who needs to analyse an electric circuit.Table of ContentsIntroduction.- Basic Concepts.- Three Phase Circuits and Magnetic Coupling.- Frequency Response and DC Sweep Analysis.- Exercises.- References for Further Study.
£44.99
Springer International Publishing AG Chip Multiprocessor Architecture: Techniques to Improve Throughput and Latency
Book SynopsisChip multiprocessors - also called multi-core microprocessors or CMPs for short - are now the only way to build high-performance microprocessors, for a variety of reasons. Large uniprocessors are no longer scaling in performance, because it is only possible to extract a limited amount of parallelism from a typical instruction stream using conventional superscalar instruction issue techniques. In addition, one cannot simply ratchet up the clock speed on today's processors, or the power dissipation will become prohibitive in all but water-cooled systems. Compounding these problems is the simple fact that with the immense numbers of transistors available on today's microprocessor chips, it is too costly to design and debug ever-larger processors every year or two. CMPs avoid these problems by filling up a processor die with multiple, relatively simpler processor cores instead of just one huge core. The exact size of a CMP's cores can vary from very simple pipelines to moderately complex superscalar processors, but once a core has been selected the CMP's performance can easily scale across silicon process generations simply by stamping down more copies of the hard-to-design, high-speed processor core in each successive chip generation. In addition, parallel code execution, obtained by spreading multiple threads of execution across the various cores, can achieve significantly higher performance than would be possible using only a single core. While parallel threads are already common in many useful workloads, there are still important workloads that are hard to divide into parallel threads. The low inter-processor communication latency between the cores in a CMP helps make a much wider range of applications viable candidates for parallel execution than was possible with conventional, multi-chip multiprocessors; nevertheless, limited parallelism in key applications is the main factor limiting acceptance of CMPs in some types of systems. After a discussion of the basic pros and cons of CMPs when they are compared with conventional uniprocessors, this book examines how CMPs can best be designed to handle two radically different kinds of workloads that are likely to be used with a CMP: highly parallel, throughput-sensitive applications at one end of the spectrum, and less parallel, latency-sensitive applications at the other. Throughput-sensitive applications, such as server workloads that handle many independent transactions at once, require careful balancing of all parts of a CMP that can limit throughput, such as the individual cores, on-chip cache memory, and off-chip memory interfaces. Several studies and example systems, such as the Sun Niagara, that examine the necessary tradeoffs are presented here. In contrast, latency-sensitive applications - many desktop applications fall into this category - require a focus on reducing inter-core communication latency and applying techniques to help programmers divide their programs into multiple threads as easily as possible. This book discusses many techniques that can be used in CMPs to simplify parallel programming, with an emphasis on research directions proposed at Stanford University. To illustrate the advantages possible with a CMP using a couple of solid examples, extra focus is given to thread-level speculation (TLS), a way to automatically break up nominally sequential applications into parallel threads on a CMP, and transactional memory. This model can greatly simplify manual parallel programming by using hardware - instead of conventional software locks - to enforce atomic code execution of blocks of instructions, a technique that makes parallel coding much less error-prone. Contents: The Case for CMPs / Improving Throughput / Improving Latency Automatically / Improving Latency using Manual Parallel Programming / A Multicore World: The Future of CMPsTable of ContentsContents: The Case for CMPs.- Improving Throughput.- Improving Latency Automatically.- Improving Latency using Manual Parallel Programming.- A Multicore World: The Future of CMPs.
£26.59
Springer International Publishing AG Computer Architecture Techniques for Power-Efficiency
Book SynopsisIn the last few years, power dissipation has become an important design constraint, on par with performance, in the design of new computer systems. Whereas in the past, the primary job of the computer architect was to translate improvements in operating frequency and transistor count into performance, now power efficiency must be taken into account at every step of the design process. While for some time, architects have been successful in delivering 40% to 50% annual improvement in processor performance, costs that were previously brushed aside eventually caught up. The most critical of these costs is the inexorable increase in power dissipation and power density in processors. Power dissipation issues have catalyzed new topic areas in computer architecture, resulting in a substantial body of work on more power-efficient architectures. Power dissipation coupled with diminishing performance gains, was also the main cause for the switch from single-core to multi-core architectures and a slowdown in frequency increase. This book aims to document some of the most important architectural techniques that were invented, proposed, and applied to reduce both dynamic power and static power dissipation in processors and memory hierarchies. A significant number of techniques have been proposed for a wide range of situations and this book synthesizes those techniques by focusing on their common characteristics. Table of Contents: Introduction / Modeling, Simulation, and Measurement / Using Voltage and Frequency Adjustments to Manage Dynamic Power / Optimizing Capacitance and Switching Activity to Reduce Dynamic Power / Managing Static (Leakage) Power / ConclusionsTable of ContentsIntroduction.- Modeling, Simulation, and Measurement.- Using Voltage and Frequency Adjustments to Manage Dynamic Power.- Optimizing Capacitance and Switching Activity to Reduce Dynamic Power.- Managing Static (Leakage) Power.- Conclusions.
£26.99
Springer International Publishing AG Fault Tolerant Computer Architecture
Book SynopsisFor many years, most computer architects have pursued one primary goal: performance. Architects have translated the ever-increasing abundance of ever-faster transistors provided by Moore's law into remarkable increases in performance. Recently, however, the bounty provided by Moore's law has been accompanied by several challenges that have arisen as devices have become smaller, including a decrease in dependability due to physical faults. In this book, we focus on the dependability challenge and the fault tolerance solutions that architects are developing to overcome it. The two main purposes of this book are to explore the key ideas in fault-tolerant computer architecture and to present the current state-of-the-art - over approximately the past 10 years - in academia and industry. Table of Contents: Introduction / Error Detection / Error Recovery / Diagnosis / Self-Repair / The FutureTable of ContentsIntroduction.- Error Detection.- Error Recovery.- Diagnosis.- Self-Repair.- The Future.
£25.19
Springer International Publishing AG Introduction to Reconfigurable Supercomputing
Book SynopsisThis book covers technologies, applications, tools, languages, procedures, advantages, and disadvantages of reconfigurable supercomputing using Field Programmable Gate Arrays (FPGAs). The target audience is the community of users of High Performance Computers (HPC) who may benefit from porting their applications into a reconfigurable environment. As such, this book is intended to guide the HPC user through the many algorithmic considerations, hardware alternatives, usability issues, programming languages, and design tools that need to be understood before embarking on the creation of reconfigurable parallel codes. We hope to show that FPGA acceleration, based on the exploitation of the data parallelism, pipelining and concurrency remains promising in view of the diminishing improvements in traditional processor and system design. Table of Contents: FPGA Technology / Reconfigurable Supercomputing / Algorithmic Considerations / FPGA Programming Languages / Case Study: Sorting / Alternative Technologies and Concluding RemarksTable of ContentsFPGA Technology.- Reconfigurable Supercomputing.- Algorithmic Considerations.- FPGA Programming Languages.- Case Study: Sorting.- Alternative Technologies and Concluding Remarks.
£25.19
Springer International Publishing AG Transactional Memory, Second Edition
Book SynopsisThe advent of multicore processors has renewed interest in the idea of incorporating transactions into the programming model used to write parallel programs. This approach, known as transactional memory, offers an alternative, and hopefully better, way to coordinate concurrent threads. The ACI (atomicity, consistency, isolation) properties of transactions provide a foundation to ensure that concurrent reads and writes of shared data do not produce inconsistent or incorrect results. At a higher level, a computation wrapped in a transaction executes atomically - either it completes successfully and commits its result in its entirety or it aborts. In addition, isolation ensures the transaction produces the same result as if no other transactions were executing concurrently. Although transactions are not a parallel programming panacea, they shift much of the burden of synchronizing and coordinating parallel computations from a programmer to a compiler, to a language runtime system, or to hardware. The challenge for the system implementers is to build an efficient transactional memory infrastructure. This book presents an overview of the state of the art in the design and implementation of transactional memory systems, as of early spring 2010. Table of Contents: Introduction / Basic Transactions / Building on Basic Transactions / Software Transactional Memory / Hardware-Supported Transactional Memory / ConclusionsTable of ContentsIntroduction.- Basic Transactions.- Building on Basic Transactions.- Software Transactional Memory.- Hardware-Supported Transactional Memory.- Conclusions.
£31.49
Springer International Publishing AG Processor Microarchitecture: An Implementation Perspective
Book SynopsisThis lecture presents a study of the microarchitecture of contemporary microprocessors. The focus is on implementation aspects, with discussions on their implications in terms of performance, power, and cost of state-of-the-art designs. The lecture starts with an overview of the different types of microprocessors and a review of the microarchitecture of cache memories. Then, it describes the implementation of the fetch unit, where special emphasis is made on the required support for branch prediction. The next section is devoted to instruction decode with special focus on the particular support to decoding x86 instructions. The next chapter presents the allocation stage and pays special attention to the implementation of register renaming. Afterward, the issue stage is studied. Here, the logic to implement out-of-order issue for both memory and non-memory instructions is thoroughly described. The following chapter focuses on the instruction execution and describes the different functional units that can be found in contemporary microprocessors, as well as the implementation of the bypass network, which has an important impact on the performance. Finally, the lecture concludes with the commit stage, where it describes how the architectural state is updated and recovered in case of exceptions or misspeculations. This lecture is intended for an advanced course on computer architecture, suitable for graduate students or senior undergrads who want to specialize in the area of computer architecture. It is also intended for practitioners in the industry in the area of microprocessor design. The book assumes that the reader is familiar with the main concepts regarding pipelining, out-of-order execution, cache memories, and virtual memory. Table of Contents: Introduction / Caches / The Instruction Fetch Unit / Decode / Allocation / The Issue Stage / Execute / The Commit Stage / References / Author BiographiesTable of ContentsIntroduction.- Caches.- The Instruction Fetch Unit.- Decode.- Allocation.- The Issue Stage.- Execute.- The Commit Stage.- References.- Author Biographies.
£26.59
Springer International Publishing AG Quantum Computing for Computer Architects, Second Edition
Book SynopsisQuantum computers can (in theory) solve certain problems far faster than a classical computer running any known classical algorithm. While existing technologies for building quantum computers are in their infancy, it is not too early to consider their scalability and reliability in the context of the design of large-scale quantum computers. To architect such systems, one must understand what it takes to design and model a balanced, fault-tolerant quantum computer architecture. The goal of this lecture is to provide architectural abstractions for the design of a quantum computer and to explore the systems-level challenges in achieving scalable, fault-tolerant quantum computation. In this lecture, we provide an engineering-oriented introduction to quantum computation with an overview of the theory behind key quantum algorithms. Next, we look at architectural case studies based upon experimental data and future projections for quantum computation implemented using trapped ions. While we focus here on architectures targeted for realization using trapped ions, the techniques for quantum computer architecture design, quantum fault-tolerance, and compilation described in this lecture are applicable to many other physical technologies that may be viable candidates for building a large-scale quantum computing system. We also discuss general issues involved with programming a quantum computer as well as a discussion of work on quantum architectures based on quantum teleportation. Finally, we consider some of the open issues remaining in the design of quantum computers. Table of Contents: Introduction / Basic Elements for Quantum Computation / Key Quantum Algorithms / Building Reliable and Scalable Quantum Architectures / Simulation of Quantum Computation / Architectural Elements / Case Study: The Quantum Logic Array Architecture / Programming the Quantum Architecture / Using the QLA for Quantum Simulation: The Transverse Ising Model / Teleportation-Based Quantum Architectures / Concluding RemarksTable of ContentsIntroduction.- Basic Elements for Quantum Computation.- Key Quantum Algorithms.- Building Reliable and Scalable Quantum Architectures.- Simulation of Quantum Computation.- Architectural Elements.- Case Study: The Quantum Logic Array Architecture.- Programming the Quantum Architecture.- Using the QLA for Quantum Simulation: The Transverse Ising Model.- Teleportation-Based Quantum Architectures.- Concluding Remarks.
£31.49