Electronics: circuits and components Books

513 products


  • Quantum Computing Architecture and Hardware for

    Springer Quantum Computing Architecture and Hardware for

    3 in stock

    Book SynopsisPart I: Overview of Quantum Computers and Review of Essential Quantum Mechanics.- Chapter 1: Quantum Computer Hardware and Architecture - An Overview.- Chapter 2: Linear Algebra - Vectors, States, and Measurement.- Chapter 3: Linear Algebra - Operators, Matrices and Quantum Gates.- Chapter 4: Schrodinger Equation and Quantum Gates.- Chapter 5: Bloch Sphere, Quantum Gates, and Pauli Matrices.- Chapter 6: Density Matrix and the Bloch Sphere.- Part II: Silicon Spin Qubit Architecture and Hardware.- Chapter 7: Spin Qubit - Preliminary Physics.- Chapter 8: Spin Qubit - Larmor Precession - Phase Shift Gate.- Chapter 9: Spin Qubit - Rabi Oscillation.- Chapter 10: Spin Qubit - Rabi Oscillation under Rotating Field using Rotating Frame.- Chapter 11: Electron Spin Qubit in Semiconductor - Implementation, Initialization and Readout.- Chapter 12: Electron Spin Qubit in Semiconductor - 1-Qubit and 2-Qubit Gates.- Part III: Superconducting Qubit Architecture and Hardware.- Chapter 13: Lagrangian Mechanics and Hamiltonian Mechanics.- Chapter 14: Quantization of Simple Harmonic Oscillator.- Chapter 15: Quantization of an LC Tank - a Bad Qubit.- Chapter 16: Superconductor and Josephson Junction.- Chapter 17: Cooper Pair Box Qubit - Hamiltonian.- Chapter 18: Cooper Pair Box - Analytical Solution.- Chapter 19: Cooper Pair Box - Numerical Solution.- Chapter 20: Charge Qubit Dynamics - Precession and 1-Qubit Gate.- Chapter 21: Transmon Qubit - 1-Qubit and 2-Qubit Gates.- Chapter 22: Superconducting Qubit - Readout and Initialization.- Part IV: Design and Implementation.- Chapter 23: Microwave Electronics in Quantum Computers.- Chapter 24: Design of Integrated Superconducting Qubit Chip.- Chapter 25: Errors and Decoherence.

    3 in stock

    £44.99

  • Microcontrollers Fundamentals for Engineers and Scientists

    Springer International Publishing AG Microcontrollers Fundamentals for Engineers and Scientists

    1 in stock

    Book SynopsisThis book provides practicing scientists and engineers a tutorial on the fundamental concepts and use of microcontrollers. Today, microcontrollers, or single integrated circuit (chip) computers, play critical roles in almost all instrumentation and control systems. Most existing books arewritten for undergraduate and graduate students taking an electrical and/or computer engineering course. Furthermore, these texts have beenwritten with a particular model of microcontroller as the target discussion. These textbooks also require a requisite knowledge of digital design fundamentals. This textbook presents the fundamental concepts common to all microcontrollers. Our goals are to present the over–arching theory of microcontroller operation and to provide a detailed discussion on constituent subsystems available in most microcontrollers. With such goals, we envision that the theory discussed in this book can be readily applied to a wide variety of microcontroller technologies, allowing practicing scientists and engineers to become acquainted with basic concepts prior to beginning a design involving a specific microcontroller. We have found that the fundamental principles of a given microcontroller are easily transferred to other controllers. Although this is a relatively small book, it is packed with useful information for quickly coming up to speed on microcontroller concepts.Table of ContentsDigital Design Fundamentals.- The Design and Development Process.- Microcontroller.- Timing Subsystem.- Analog-to-Digital Conversion.- Networked Microcontrollers.- Operating Parameters and Interfacing.

    1 in stock

    £26.59

  • PSpice for Analog Communications Engineering

    Springer International Publishing AG PSpice for Analog Communications Engineering

    Out of stock

    Book SynopsisIn PSpice for Analog Communications Engineering we simulate the difficult principles of analog modulation using the superb free simulation software Cadence Orcad PSpice V10.5. While use is made of analog behavioral model parts (ABM), we use actual circuitry in most of the simulation circuits. For example, we use the 4-quadrant multiplier IC AD633 as a modulator and import real speech as the modulating source and look at the trapezoidal method for measuring the modulation index. Modulation is the process of relocating signals to different parts of the radio frequency spectrum by modifying certain parameters of the carrier in accordance with the modulating/information signals. In amplitude modulation, the modulating source changes the carrier amplitude, but in frequency modulation it causes the carrier frequency to change (and in phase modulation it’s the carrier phase). The digital equivalent of these modulation techniques are examined in PSpice for Digital communications Engineering where we examine QAM, FSK, PSK and variants. We examine a range of oscillators and plot Nyquist diagrams showing themarginal stability of these systems. The superhetrodyne principle, the backbone of modern receivers is simulated using discrete components followed by simulating complete AM and FM receivers. In this exercise we examine the problems ofmatching individual stages and the use of double-tuned RF circuits to accommodate the large FM signal bandwidth.Table of ContentsAmplitude Modulation Techniques.- AM Diode Detection and Four-Quadrant Multipliers.- System Stability,Nyquist Criterion.- Superhetrodyne Amplitude Modulation Receivers.- Frequency Modulation Principles.- Superhetrodyne Frequency Modulation Receivers.- Noise .

    Out of stock

    £31.49

  • PSpice for Filters and Transmission Lines

    Springer International Publishing AG PSpice for Filters and Transmission Lines

    1 in stock

    Book SynopsisIn this book, PSpice for Filters and Transmission Lines, we examine a range of active and passive filters where each design is simulated using the latest Cadence Orcad V10.5 PSpice capture software. These filters cannot match the very high order digital signal processing (DSP) filters considered in PSpice for Digital Signal Processing, but nevertheless these filters have many uses. The active filters considered were designed using Butterworth and Chebychev approximation loss functions rather than using the ‘cookbook approach’ so that the final design will meet a given specification in an exacting manner. Switched-capacitor filter circuits are examined and here we see how useful PSpice/Probe is in demonstrating how these filters, filter, as it were. Two-port networks are discussed as an introduction to transmission lines and, using a series of problems, we demonstrate quarter-wave and single-stub matching. The concept of time domain reflectrometry as a fault location tool on transmission lines is then examined. In the last chapter we discuss the technique of importing and exporting speech signals into a PSpice schematic using a tailored-made program Wav2ascii. This is a novel technique that greatly extends the simulation boundaries of PSpice. Various digital circuits are also examined at the end of this chapter to demonstrate the use of the bus structure and other techniques.Table of ContentsPassive Filters and Bode Plotting.- Loss Functions and Active Filter Design.- Voltage-Controlled Voltage Source Active Filters.- Infinite Gain Multiple Feedback Active Filters.- Biquadratic Filters and Monte-Carlo Analysis.- Switched-Capacitor Filter Circuits.- Two-Port Networks and Transmission Lines.- Importing and Exporting Speech Signals.

    1 in stock

    £31.49

  • PSpice for Digital Signal Processing

    Springer International Publishing AG PSpice for Digital Signal Processing

    1 in stock

    Book SynopsisPSpice for Digital Signal Processing is the last in a series of five books using Cadence Orcad PSpice version 10.5 and introduces a very novel approach to learning digital signal processing (DSP). DSP is traditionally taught using Matlab/Simulink software but has some inherent weaknesses for students particularly at the introductory level. The ‘plug in variables and play’ nature of these software packages can lure the student into thinking they possess an understanding they don’t actually have because these systems produce results quicklywithout revealing what is going on. However, it must be said that, for advanced level work Matlab/Simulink really excel. In this book we start by examining basic signals starting with sampled signals and dealing with the concept of digital frequency. The delay part, which is the heart of DSP, is explained and applied initially to simple FIR and IIR filters. We examine linear time invariant systems starting with the difference equation and applying the z-transform to produce a range of filter type i.e. low-pass, high-pass and bandpass. The important concept of convolution is examined and here we demonstrate the usefulness of the 'log' command in Probe for giving the correct display to demonstrate the 'flip n slip' method. Digital oscillators, including quadrature carrier generation, are then examined. Several filter design methods are considered and include the bilinear transform, impulse invariant, and window techniques. Included also is a treatment of the raised-cosine family of filters. A range of DSP applications are then considered and include the Hilbert transform, single sideband modulator using the Hilbert transform and quad oscillators, integrators and differentiators. Decimation and interpolation are simulated to demonstrate the usefulness of the multi-sampling environment. Decimation is also applied in a treatment on digital receivers. Lastly, we look at some musical applications for DSP such as reverberation/echo using real-world signals imported into PSpice using the program Wav2Ascii. The zero-forcing equalizer is dealt with in a simplistic manner and illustrates the effectiveness of equalizing signals in a receiver after transmission.Table of ContentsIntroduction toDigital Signal Processing.- Difference Equations and the z-Transform.- Digital Convolution, Oscillators, and Windowing.- Digital Filter Design Methods.- Digital Signal Processing Applications.- Down-Sampling and Digital Receivers.

    1 in stock

    £31.49

  • Finite State Machine Datapath Design, Optimization, and Implementation

    Springer International Publishing AG Finite State Machine Datapath Design, Optimization, and Implementation

    1 in stock

    Book SynopsisFinite State Machine Datapath Design, Optimization, and Implementation explores the design space of combined FSM/Datapath implementations. The lecture starts by examining performance issues in digital systems such as clock skew and its effect on setup and hold time constraints, and the use of pipelining for increasing system clock frequency. This is followed by definitions for latency and throughput, with associated resource tradeoffs explored in detail through the use of dataflow graphs and scheduling tables applied to examples taken from digital signal processing applications. Also, design issues relating to functionality, interfacing, and performance for different types of memories commonly found in ASICs and FPGAs such as FIFOs, single-ports, and dual-ports are examined. Selected design examples are presented in implementation-neutral Verilog code and block diagrams, with associated design files available as downloads for both Altera Quartus and Xilinx Virtex FPGA platforms. A working knowledge of Verilog, logic synthesis, and basic digital design techniques is required. This lecture is suitable as a companion to the synthesis lecture titled Introduction to Logic Synthesis using Verilog HDL. Table of Contents: Calculating Maximum Clock Frequency / Improving Design Performance / Finite State Machine with Datapath (FSMD) Design / Embedded Memory Usage in Finite State Machine with Datapath (FSMD) DesignsTable of ContentsCalculating Maximum Clock Frequency.- Improving Design Performance.- Finite State Machine with Datapath (FSMD) Design.- Embedded Memory Usage in Finite State Machine with Datapath (FSMD) Designs.

    1 in stock

    £26.59

  • Embedded System Design with the Atmel AVR Microcontroller II

    Springer International Publishing AG Embedded System Design with the Atmel AVR Microcontroller II

    Out of stock

    Book SynopsisThis textbook provides practicing scientists and engineers an advanced treatment of the Atmel AVR microcontroller. This book is intended as a follow-on to a previously published book, titled Atmel AVR Microcontroller Primer: Programming and Interfacing. Some of the content from this earlier text is retained for completeness. This book will emphasize advanced programming and interfacing skills. We focus on system level design consisting of several interacting microcontroller subsystems. The first chapter discusses the system design process. Our approach is to provide the skills to quickly get up to speed to operate the internationally popular Atmel AVR microcontroller line by developing systems level design skills. We use the Atmel ATmega164 as a representative sample of the AVR line. The knowledge you gain on this microcontroller can be easily translated to every other microcontroller in the AVR line. In succeeding chapters, we cover the main subsystems aboard the microcontroller, providing a short theory section followed by a description of the related microcontroller subsystem with accompanying software for the subsystem. We then provide advanced examples exercising some of the features discussed. In all examples, we use the C programming language. The code provided can be readily adapted to the wide variety of compilers available for the Atmel AVR microcontroller line. We also include a chapter describing how to interface the microcontroller to a wide variety of input and output devices. The book concludes with several detailed system level design examples employing the Atmel AVR microcontroller. Table of Contents: Embedded Systems Design / Atmel AVR Architecture Overview / Serial Communication Subsystem / Analog to Digital Conversion (ADC) / Interrupt Subsystem / Timing Subsystem / Atmel AVR Operating Parameters and Interfacing / System Level DesignTable of ContentsEmbedded Systems Design.- Atmel AVR Architecture Overview.- Serial Communication Subsystem.- Analog to Digital Conversion (ADC).- Interrupt Subsystem.- Timing Subsystem.- Atmel AVR Operating Parameters and Interfacing.- System Level Design.

    Out of stock

    £31.49

  • Circuit Analysis with Multisim

    Springer International Publishing AG Circuit Analysis with Multisim

    Out of stock

    Book SynopsisThis book is concerned with circuit simulation using National Instruments Multisim. It focuses on the use and comprehension of the working techniques for electrical and electronic circuit simulation. The first chapters are devoted to basic circuit analysis. It starts by describing in detail how to perform a DC analysis using only resistors and independent and controlled sources. Then, it introduces capacitors and inductors to make a transient analysis. In the case of transient analysis, it is possible to have an initial condition either in the capacitor voltage or in the inductor current, or both. Fourier analysis is discussed in the context of transient analysis. Next, we make a treatment of AC analysis to simulate the frequency response of a circuit. Then, we introduce diodes, transistors, and circuits composed by them and perform DC, transient, and AC analyses. The book ends with simulation of digital circuits. A practical approach is followed through the chapters, using step-by-step examples to introduce new Multisim circuit elements, tools, analyses, and virtual instruments for measurement. The examples are clearly commented and illustrated. The different tools available on Multisim are used when appropriate so readers learn which analyses are available to them. This is part of the learning outcomes that should result after each set of end-of-chapter exercises is worked out. Table of Contents: Introduction to Circuit Simulation / Resistive Circuits / Time Domain Analysis -- Transient Analysis / Frequency Domain Analysis -- AC Analysis / Semiconductor Devices / Digital CircuitsTable of ContentsIntroduction to Circuit Simulation.- Resistive Circuits.- Time Domain Analysis -- Transient Analysis.- Frequency Domain Analysis -- AC Analysis.- Semiconductor Devices.- Digital Circuits.

    Out of stock

    £26.59

  • Introduction to Noise-Resilient Computing

    Springer International Publishing AG Introduction to Noise-Resilient Computing

    Out of stock

    Book SynopsisNoise abatement is the key problem of small-scaled circuit design. New computational paradigms are needed -- as these circuits shrink, they become very vulnerable to noise and soft errors. In this lecture, we present a probabilistic computation framework for improving the resiliency of logic gates and circuits under random conditions induced by voltage or current fluctuation. Among many probabilistic techniques for modeling such devices, only a few models satisfy the requirements of efficient hardware implementation -- specifically, Boltzman machines and Markov Random Field (MRF) models. These models have similar built-in noise-immunity characteristics based on feedback mechanisms. In probabilistic models, the values 0 and 1 of logic functions are replaced by degrees of beliefs that these values occur. An appropriate metric for degree of belief is probability. We discuss various approaches for noise-resilient logic gate design, and propose a novel design taxonomy based on implementation of the MRF model by a new type of binary decision diagram (BDD), called a cyclic BDD. In this approach, logic gates and circuits are designed using 2-to-1 bi-directional switches. Such circuits are often modeled using Shannon expansions with the corresponding graph-based implementation, BDDs. Simulation experiments are reported to show the noise immunity of the proposed structures. Audiences who may benefit from this lecture include graduate students taking classes on advanced computing device design, and academic and industrial researchers. Table of Contents: Introduction to probabilistic computation models / Nanoscale circuits and fluctuation problems / Estimators and Metrics / MRF Models of Logic Gates / Neuromorphic models / Noise-tolerance via error correcting / Conclusion and future workTable of ContentsIntroduction to probabilistic computation models.- Nanoscale circuits and fluctuation problems.- Estimators and Metrics.- MRF Models of Logic Gates.- Neuromorphic models.- Noise-tolerance via error correcting.- Conclusion and future work.

    Out of stock

    £25.19

  • Modeling Digital Switching Circuits with Linear Algebra

    Springer International Publishing AG Modeling Digital Switching Circuits with Linear Algebra

    Out of stock

    Book SynopsisModeling Digital Switching Circuits with Linear Algebra describes an approach for modeling digital information and circuitry that is an alternative to Boolean algebra. While the Boolean algebraic model has been wildly successful and is responsible for many advances in modern information technology, the approach described in this book offers new insight and different ways of solving problems. Modeling the bit as a vector instead of a scalar value in the set {0, 1} allows digital circuits to be characterized with transfer functions in the form of a linear transformation matrix. The use of transfer functions is ubiquitous in many areas of engineering and their rich background in linear systems theory and signal processing is easily applied to digital switching circuits with this model. The common tasks of circuit simulation and justification are specific examples of the application of the linear algebraic model and are described in detail. The advantages offered by the new model as compared to traditional methods are emphasized throughout the book. Furthermore, the new approach is easily generalized to other types of information processing circuits such as those based upon multiple-valued or quantum logic; thus providing a unifying mathematical framework common to each of these areas. Modeling Digital Switching Circuits with Linear Algebra provides a blend of theoretical concepts and practical issues involved in implementing the method for circuit design tasks. Data structures are described and are shown to not require any more resources for representing the underlying matrices and vectors than those currently used in modern electronic design automation (EDA) tools based on the Boolean model. Algorithms are described that perform simulation, justification, and other common EDA tasks in an efficient manner that are competitive with conventional design tools. The linear algebraic model can be used to implement common EDA tasks directly upon a structural netlist thus avoiding the intermediate step of transforming a circuit description into a representation of a set of switching functions as is commonly the case when conventional Boolean techniques are used. Implementation results are provided that empirically demonstrate the practicality of the linear algebraic model.Table of ContentsIntroduction.- Information as a Vector.- Switching Network Transfer Functions.- Simulation and Justification.- MVL Switching Networks.- Binary Switching Network Spectra.- Multi-valued Switching Network Spectra.- Implementation Considerations.- Summary.- Bibliography.- Author's Biography.- Index.

    Out of stock

    £25.19

  • Springer Vieweg Strukturorientierte Bewertung

    1 in stock

    Book Synopsis

    1 in stock

    £80.99

  • De Gruyter BIOKYBERNETIKA

    Out of stock

    Book Synopsis

    Out of stock

    £190.00

  • Walter de Gruyter Rechnerorganisation Und Rechnerentwurf: Die

    1 in stock

    Book Synopsis

    1 in stock

    £76.46

  • de Gruyter Fehlererkennungsschaltungen

    Out of stock

    Book Synopsis

    Out of stock

    £134.09

  • Noise-Shaping All-Digital Phase-Locked Loops: Modeling, Simulation, Analysis and Design

    Springer International Publishing AG Noise-Shaping All-Digital Phase-Locked Loops: Modeling, Simulation, Analysis and Design

    15 in stock

    Book SynopsisThis book presents a novel approach to the analysis and design of all-digital phase-locked loops (ADPLLs), technology widely used in wireless communication devices. The authors provide an overview of ADPLL architectures, time-to-digital converters (TDCs) and noise shaping. Realistic examples illustrate how to analyze and simulate phase noise in the presence of sigma-delta modulation and time-to-digital conversion. Readers will gain a deep understanding of ADPLLs and the central role played by noise-shaping. A range of ADPLL and TDC architectures are presented in unified manner. Analytical and simulation tools are discussed in detail. Matlab code is included that can be reused to design, simulate and analyze the ADPLL architectures that are presented in the book.Table of ContentsIntroduction.- Phase Digitization in All-Digital PLLs.- A Unifying Framework for TDC Architectures.- Analytical Predictions of Phase Noise in ADPLLs.- Advantages of Noise Shaping and Dither.- Efficient Modeling and Simulation of Accumulator-Based ADPLLs.- Modelling and Estimating Phase Noise with Matlab.

    15 in stock

    £85.49

  • Piezoelectric Accelerometers with Integral Electronics

    Springer International Publishing AG Piezoelectric Accelerometers with Integral Electronics

    15 in stock

    Book SynopsisThis book provides an invaluable reference to Piezoelectric Accelerometers with Integral Electronics (IEPE). It describes the design and performance parameters of IEPE accelerometers and their key elements, PE transducers and FET-input amplifiers. Coverage includes recently designed, low-noise and high temperature IEPE accelerometers. Readers will benefit from the detailed noise analysis of the IEPE accelerometer, which enables estimation of its noise floor and noise limits. Other topics useful for designers of low-noise, high temperature silicon-based electronics include noise analysis of FET amplifiers, experimental investigation and comparison of low-frequency noise in different JFETs and MOSFETs, and ultra-low-noise JFETs (at level of 0.6 nV/√Hz). The discussion also includes ultra-low-noise (at level of 3 ng/√Hz) seismic IEPE accelerometers and high temperature (up to 175 ̊C) triaxial and single axis miniature IEPE accelerometers, along with key factors for their design.• Provides a comprehensive reference to the design and performance of IEPE accelerometers, including low-noise and high temperature IEPE sensors;• Includes noise analysis of the IEPE accelerometer, which enables estimation of the its noise floor and noise limits;• Describes recently design of ultra-low-noise (at level of 3 ng/√Hz) IEPE seismic accelerometers and high temperature (up to 175 ̊C) triaxial and single axis miniature IEPE accelerometers;• Compares low-frequency noise in different JFETs and MOSFETs including measurement results of ultra-low-noise (at level of 0.6 nV/√Hz) JFET;• Presents key factors for design of low-noise and high temperature IEPE accelerometer and their electronics.Table of ContentsIntroduction to Piezoelectric Accelerometers with Integral Electronics.- Piezoelectric Transducers Used for Piezoelectric Accelerometers with Integral Electronics.- Integral FET Amplifiers Used for IEPE Accelerometers.- Noise of a FET Amplifier.- Comparison of 1/f Noise and Thermal Noise in JFETs and MOSFETs.- Fundamental Noise Limit of an IEPE Accelerometer.- Noise of and IEPE Accelerometer.- Ultra-low-noise IEPE Seismic Accelerometers.- High-temperature, up to 175 ºC, Miniature IEPE Accelerometers.

    15 in stock

    £104.49

  • Dry Etching Technology for Semiconductors

    Springer International Publishing AG Dry Etching Technology for Semiconductors

    15 in stock

    Book SynopsisThis book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits. The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes. The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning etc.Trade ReviewThis book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits. The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes. The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning etc. Table of ContentsContribution of Dry Etching Technology to Progress of Semiconductor Integrated Circuit.- Mechanism of Dry Etching.- Dry Etching of Various Materials.- Dry Etching Equipments.- Dry Etching Damage.- Latest Dry Etching Technologies.- Future Challenges and Outlook for Dry Etching Technology.

    15 in stock

    £104.49

  • Stepped-Frequency Radar Sensors: Theory, Analysis and Design

    Springer International Publishing AG Stepped-Frequency Radar Sensors: Theory, Analysis and Design

    15 in stock

    Book SynopsisThis book presents the theory, analysis and design of microwave stepped-frequency radar sensors. Stepped-frequency radar sensors are attractive for various sensing applications that require fine resolution. The book consists of five chapters. The first chapter describes the fundamentals of radar sensors including applications followed by a review of ultra-wideband pulsed, frequency-modulated continuous-wave (FMCW), and stepped-frequency radar sensors. The second chapter discusses a general analysis of radar sensors including wave propagation in media and scattering on targets, as well as the radar equation. The third chapter addresses the analysis of stepped-frequency radar sensors including their principles and design parameters. Chapter 4 presents the development of two stepped-frequency radar sensors at microwave and millimeter-wave frequencies based on microwave integrated circuits (MICs), microwave monolithic integrated circuits (MMICs) and printed-circuit antennas, and discusses their signal processing. Chapter 5 provides the electrical characterization and test results of the developed microwave and millimeter-wave stepped-frequency radar sensors. Finally, a summary and conclusion is provided.Table of ContentsIntroduction.- General Radar Sensor Analysis.- Stepped-Frequency Radar Sensor Analysis.- Development of Stepped-Frequency Radar Sensors.- System Characterization and Tests.- Summary and Conclusions.

    15 in stock

    £52.24

  • Screen-Printing Electrochemical Architectures

    Springer International Publishing AG Screen-Printing Electrochemical Architectures

    2 in stock

    Book SynopsisThis book offers an essential overview of screen-printing. Routinely utilised to fabricate a range of useful electrochemical architectures, screen-printing is also used in a broad range of areas in both industry and academia. It supports the design of next-generation electrochemical sensing platforms, and allows proven laboratory-based approaches to be upscaled and commercially applied. To those skilled in the art, screen-printing allows novel and useful electrochemical architectures to be mass produced, offering fabrication processes that are cost-effective yet highly reproducible and yield significant electrical benefits. However, there is no readily available textbook that actually equips readers to set about the task of screen-printing, explaining its techniques and implementation. Addressing that gap, this book will be of interest to both academics and industrialists delving into screen-printing for the first time. It offers an essential resource for those readers who want learn to successfully design, fabricate and implement (and mass-produce) electrochemical based architectures, as well as those who already have a basic understanding of the process and want to advance their technical knowledge and skills. Trade Review Table of Contents

    2 in stock

    £40.49

  • FPGAs for Software Programmers

    Springer International Publishing AG FPGAs for Software Programmers

    1 in stock

    Book SynopsisThis book makes powerful Field Programmable Gate Array (FPGA) and reconfigurable technology accessible to software engineers by covering different state-of-the-art high-level synthesis approaches (e.g., OpenCL and several C-to-gates compilers). It introduces FPGA technology, its programming model, and how various applications can be implemented on FPGAs without going through low-level hardware design phases. Readers will get a realistic sense for problems that are suited for FPGAs and how to implement them from a software designer’s point of view. The authors demonstrate that FPGAs and their programming model reflect the needs of stream processing problems much better than traditional CPU or GPU architectures, making them well-suited for a wide variety of systems, from embedded systems performing sensor processing to large setups for Big Data number crunching. This book serves as an invaluable tool for software designers and FPGA design engineers who are interested in high design productivity through behavioural synthesis, domain-specific compilation, and FPGA overlays. Introduces FPGA technology to software developers by giving an overview of FPGA programming models and design tools, as well as various application examples; Provides a holistic analysis of the topic and enables developers to tackle the architectural needs for Big Data processing with FPGAs; Explains the reasons for the energy efficiency and performance benefits of FPGA processing; Provides a user-oriented approach and a sense for where and how to apply FPGA technology. Table of ContentsIntroduction.- CPUs, GPUs, CGRAs, Vector Processing, Systolic Arrays, FPGAs.- The von Neuman Model versus data stream processing.- FPGAs for software designers.- Languages, libraries, and compilers for specific problems.- Behavioral Compiler Capabilities and optimization strategies.- Mapping Approaches and Tools for Heterogeneous FPGAs.- Automatic Tool Flows.- Design frameworks, tools, and tool interaction.- Hardware Platforms.- Parallel Architectures and Overlays.- FPGA Virtualization.- Applications from a Programmers Point of View.- Future Directions.

    1 in stock

    £113.99

  • Model-Driven Design Using IEC 61499: A

    Springer International Publishing AG Model-Driven Design Using IEC 61499: A

    Out of stock

    Book SynopsisThis book describes a novel approach for the design of embedded systems and industrial automation systems, using a unified model-driven approach that is applicable in both domains. The authors illustrate their methodology, using the IEC 61499 standard as the main vehicle for specification, verification, static timing analysis and automated code synthesis. The well-known synchronous approach is used as the main vehicle for defining an unambiguous semantics that ensures determinism and deadlock freedom. The proposed approach also ensures very efficient implementations either on small-scale embedded devices or on industry-scale programmable automation controllers (PACs). It can be used for both centralized and distributed implementations. Significantly, the proposed approach can be used without the need for any run-time support. This approach, for the first time, blurs the gap between embedded systems and automation systems and can be applied in wide-ranging applications in automotive, robotics, and industrial control systems. Several realistic examples are used to demonstrate for readers how the methodology can enable them to reduce the time-to-market, while improving the design quality and productivity.Table of ContentsIntroduction.- IEC 61499 in a Nutshell.- Introduction to Synchronous Programming Using Esterel.- Formal Model for IEC 61499 Function Blocks.- Efficient Code Synthesis from Function Blocks.- Verification of Function Blocks.- Timing Analysis.- Case Studies.

    Out of stock

    £67.49

  • Electronics for Embedded Systems

    Springer International Publishing AG Electronics for Embedded Systems

    1 in stock

    Book SynopsisThis book provides semester-length coverage of electronics for embedded systems, covering most common analog and digital circuit-related issues encountered while designing embedded system hardware. It is written for students and young professionals who have basic circuit theory background and want to learn more about passive circuits, diode and bipolar transistor circuits, the state-of-the-art CMOS logic family and its interface with older logic families such as TTL, sensors and sensor physics, operational amplifier circuits to condition sensor signals, data converters and various circuits used in electro-mechanical device control in embedded systems. The book also provides numerous hardware design examples by integrating the topics learned in earlier chapters. The last chapter extensively reviews the combinational and sequential logic design principles to be able to design the digital part of embedded system hardware.Table of ContentsFundamentals of Passive Circuit Analysis.- Diode and Bipolar Transistor Circuits.- MOS Transistors and CMOS Circuits.- TTL Logic and CMOS-TTL Interface.- Physics of Sensors.- Operational Amplifiers and Circuits.- Data Converters.- Front-End Electronics for Embedded Systems.- Review of Combinational and Sequential Logic Circuits and Design.

    1 in stock

    £62.99

  • Designing with Xilinx® FPGAs: Using Vivado

    Springer International Publishing AG Designing with Xilinx® FPGAs: Using Vivado

    1 in stock

    Book SynopsisThis book helps readers to implement their designs on Xilinx® FPGAs. The authors demonstrate how to get the greatest impact from using the Vivado® Design Suite, which delivers a SoC-strength, IP-centric and system-centric, next generation development environment that has been built from the ground up to address the productivity bottlenecks in system-level integration and implementation. This book is a hands-on guide for both users who are new to FPGA designs, as well as those currently using the legacy Xilinx tool set (ISE) but are now moving to Vivado. Throughout the presentation, the authors focus on key concepts, major mechanisms for design entry, and methods to realize the most efficient implementation of the target design, with the least number of iterations.Table of ContentsChapter 1: State of the Art Programmable Logic 1Chapter 2: Vivado Design Tools 17Chapter 3: IP Flows 23Chapter 4: Gigabit Transceivers 35Chapter 5: Memory Controllers 49Chapter 6: Processor Options 65Chapter 7: Vivado IP Integrator 75Chapter 8: SysGen for DSP 85Chapter 9: Synthesis 97Chapter 10: C Based Design 111Chapter 11: Simulation 127Chapter 12: Clocking 141Chapter 13: Stacked Silicon Interconnect (SSI) 155Chapter 14: Timing Closure 167Chapter 15: Power Analysis and Optimization 179Chapter 16: System Monitor 191Chapter 17: Hardware Debug 205Chapter 18: Emulation Using FPGAs 221Chapter 19: Partial Reconfiguration & Hierarchical Design 239

    1 in stock

    £98.99

  • Tantalum and NiobiumBased Capacitors Science

    Springer Tantalum and NiobiumBased Capacitors Science

    Out of stock

    Book SynopsisThis book provides a comprehensive analysis of the science, technology, and applications of Tantalum and Niobium-based capacitors. The author discusses fundamentals, focusing on thermodynamic stability, major degradation processes and conduction mechanisms in the basic structure of Me-Me2O5-cathode (Me: Ta, Nb). Technology-related coverage includes chapters technology chapters on the major manufacturing steps from capacitor grade powder to the testing of finished capacitors. Applications discussed include high reliability, high charge and energy efficiency, high working voltages, high temperatures, etc. The links between the scientific foundation, breakthrough technologies and outstanding performance and reliability of the capacitors are demonstrated. The theoretical models discussed include the thermodynamics of the amorphous dielectrics, conduction mechanisms in metal-insulator-semiconductor (MIS) structures, band diagrams of the organic semiconductors, etc.

    Out of stock

    £82.49

  • 8051 Microcontrollers: Fundamental Concepts,

    Springer International Publishing AG 8051 Microcontrollers: Fundamental Concepts,

    Out of stock

    Book SynopsisThis textbook describes in detail the fundamental information about the 8051 microcontroller and it carefully teaches readers how to use the microcontroller to make both electronics hardware and software. In addition to discussion of the 8051 internals, this text includes numerous, solved examples, end-of-chapter exercises, laboratory and practical projects.Table of ContentsFundamental Concepts of Computer Systems.- 8051 Core Microcontrollers.- 8051 - Core Microcontroller Instruction Set.- Flowchart and Assembly Programming.- Subroutine and Structuring of the Assembly Programming Language.- Input/output Ports of 8051 - Core Microcontrollers.- Basic 8051 - Core Microcontroller Interruptions.- Timers/Counters of the 8051 Core Microcontroller.- The Serial Communication Interface of the 8051 - Core Microcontroller.

    Out of stock

    £67.49

  • Cloud Computing

    Springer Cloud Computing

    1 in stock

    Book SynopsisIntroduction.- Foundations of Cloud Computing.- Cloud Computing Pyramid.- Features of Private and Public Clouds.- Cloud Workload Characterization.- Cloud Management and Monitoring.- Cloud Computing and Information Security.- Migrating to Cloud.- Migrating a Complex Industry to Cloud.- Cost and Billing practices in Cloud.- Analytics in the Cloud.- Future Trends in Cloud Computing.- A Quick Test of Your Cloud fundamentals Grasp.- Hands-on project to use Cloud Service Provider.- Appendix A: Points to Ponder.- Appendix B: Additional Considerations for Cloud Computing.-  Appendix C: Suggested List of Additional Cloud Projects.Appendix A: Points to Ponder.- Appendix B: Additional Considerations for Cloud Computing.-  Appendix C: Suggested List of Additional Cloud Projects.Appendix A: Points to Ponder.- Appendix B: Additional Considerations for Cloud Computing.-  Appendix C: Suggested List of Additional Cloud Projects.Appendix A: Points to Ponder.- Appendix B: Additional C

    1 in stock

    £74.99

  • Low-Power Analog Techniques, Sensors for Mobile

    Springer International Publishing AG Low-Power Analog Techniques, Sensors for Mobile

    1 in stock

    Book SynopsisThis book is based on the 18 invited tutorials presented during the 27th workshop on Advances in Analog Circuit Design. Expert designers from both industry and academia present readers with information about a variety of topics at the frontiers of analog circuit design, including the design of analog circuits in power-constrained applications, CMOS-compatible sensors for mobile devices and energy-efficient amplifiers and drivers. For anyone involved in the design of analog circuits, this book will serve as a valuable guide to the current state-of-the-art.Provides a state-of-the-art reference in analog circuit design, written by experts from industry and academia;Presents material in a tutorial-based format;Covers the design of analog circuits in power-constrained applications, CMOS-compatible sensors for mobile devices and energy-efficient amplifiers and drivers.Table of ContentsIntroduction.- Hybrid Data Converters.- Hybrid and Segmented ADC Techniques to Optimize Power Efficiency and Area. The Case of a 0.076mm2 600MS/s 12b SAR-DS ADC.- Interleaved Pipelined SAR ADCs: Combined Power for Efficient Accurate High-Speed Conversion.- Hybrid VCO-based 0-1 MASH and Hybrid DS SAR ADCs.- Hybrid Architecture for Reconfigurable SAR ADC.- A Hybrid ADC for High Resolution: the Zoom-ADC.- Advances in Bio-Medical Sensor Systems for Wearable Health.- An Ultra-Low Power, Robust Photoplethysomographic Readout Exploiting Compressive Sampling, Artifact Reduction and Sensor Fusion.- A 32kHz-DTCXO RTC Module with an Overall Accuracy of ±1ppm and an All-Digital 0.1ppm Compensation-Resolution Scheme.- Energy-Efficient High-Resolution resistor-based temperature sensors.- Ultra-Low Power Charge-Pump-Based Bandgap References.- An Energy-Efficient Integrating Dual-Slope Capacitance-to-Digital Converter.- FD-SOI Technology, Advantages for Analog/RF and Mixed-Signal Designs.- Pipeline and SAR ADCs for Advanced Nodes.- Time-Based Biomedical AFE Readout in Ultra-Low Voltage, Small-Scale CMOS Technology.- An Ultra-Low Power Bluetooth Low-Energy Transceiver for IoT Applications.- Analog/Mixed-Signal Design in FinFET Technologies.- Analog design in 14nm and 28nm.

    1 in stock

    £107.99

  • Automotive High Speed Communication Technologies:

    Carl Hanser Verlag GmbH & Co Automotive High Speed Communication Technologies:

    1 in stock

    Book SynopsisThe demands for processing power, software, and communication are continuously increasing; in all industries and also in the automotive one. In vehicles, the need for higher data rates is driven by more electronic functions in general, but especially by ever more potent (camera) sensors, displays, and high performance ECUs.This book provides a holistic view on new SerDes and Ethernet high-speed communication solutions for cars. It addresses core physical components such as cables, connectors, or PCB design, as well as physical layer processing, use-case-specific protocols, and the use cases as such. It is important to the authors not only to explain the technologies, but also to provide context and background in respect to various technical choices. The intent is to help readers understand the current eco-system end-to-end, whether they are new to the automotive industry or experts who want to deepen their understanding on specific items, whether they are working for a car manufacturer directly or any of the suppliers, whether they are already involved or evaluating to get involved.This is the first book to address the following topics: the >10 Gbps Automotive Ethernet technologies IEEE 802.3cy and IEEE 802.3cz asymmetric Ethernet the new automotive SerDes Standard, the ASA Motion Link the MIPI Automotive SerDes Solutions ( MASS ) power supply over coaxial data cables design for testability in an automotive context

    1 in stock

    £78.20

  • Handbook of 3D Integration, Volume 3: 3D Process

    Wiley-VCH Verlag GmbH Handbook of 3D Integration, Volume 3: 3D Process

    Out of stock

    Book SynopsisEdited by key figures in 3D integration and written by top authors from high-tech companies and renowned research institutions, this book covers the intricate details of 3D process technology. As such, the main focus is on silicon via formation, bonding and debonding, thinning, via reveal and backside processing, both from a technological and a materials science perspective. The last part of the book is concerned with assessing and enhancing the reliability of the 3D integrated devices, which is a prerequisite for the large-scale implementation of this emerging technology. Invaluable reading for materials scientists, semiconductor physicists, and those working in the semiconductor industry, as well as IT and electrical engineers.Table of ContentsList of Contributors xvii 1 3D IC Integration Since 2008 1 Philip Garrou, Peter Ramm, and Mitsumasa Koyanagi 1.1 3D IC Nomenclature 1 1.2 Process Standardization 2 1.3 The Introduction of Interposers (2.5D) 4 1.4 The Foundries 6 1.4.1 TSMC 6 1.4.2 UMC 7 1.4.3 GlobalFoundries 7 1.5 Memory 7 1.5.1 Samsung 7 1.5.2 Micron 8 1.5.3 Hynix 9 1.6 The Assembly and Test Houses 9 1.7 3D IC Application Roadmaps 10 References 11 2 Key Applications and Market Trends for 3D Integration and Interposer Technologies 13 Rozalia Beica, Jean-Christophe Eloy, and Peter Ramm 2.1 Introduction 13 2.2 Advanced Packaging Importance in the Semiconductor Industry is Growing 16 2.3 3D Integration-Focused Activities – The Global IP Landscape 18 2.4 Applications, Technology, and Market Trends 22 References 32 3 Economic Drivers and Impediments for 2.5D/3D Integration 33 Philip Garrou 3.1 3D Performance Advantages 33 3.2 The Economics of Scaling 33 3.3 The Cost of Future Scaling 34 3.4 Cost Remains the Impediment to 2.5D and 3D Product Introduction 37 3.4.1 Required Economics for Interposer Use in Mobile Products 38 3.4.2 Silicon Interposer Pricing 38 References 40 4 Interposer Technology 41 Venky Sundaram and Rao R. Tummala 4.1 Definition of 2.5D Interposers 41 4.2 Interposer Drivers and Need 42 4.3 Comparison of Interposer Materials 44 4.4 Silicon Interposers with TSV 45 4.5 Lower Cost Interposers 48 4.5.1 Glass Interposers 48 4.5.1.1 Challenges in Glass Interposers 49 4.5.1.2 Small-Pitch Through-Package Via Hole Formation and Ultrathin Glass Handling 49 4.5.1.3 Metallization of Glass TPV 51 4.5.1.4 Reliability of Copper TPVs in Glass Interposers 52 4.5.1.5 Thermal Dissipation of Glass 53 4.5.1.6 Glass Interposer Fabrication with TPV and RDL 53 4.5.2 Low-CTE Organic Interposers 53 4.5.3 Polycrystalline Silicon Interposer 55 4.5.3.1 Polycrystalline Silicon Interposer Fabrication Process 56 4.6 Interposer Technical and Manufacturing Challenges 57 4.7 Interposer Application Examples 58 4.8 Conclusions 60 References 61 5 TSV Formation Overview 65 Dean Malta 5.1 Introduction 65 5.2 TSV Process Approaches 67 5.2.1 TSV-Middle Approach 68 5.2.2 Backside TSV-Last Approach 68 5.2.3 Front-Side TSV-Last Approach 69 5.3 TSV Fabrication Steps 70 5.3.1 TSV Etching 70 5.3.2 TSV Insulation 71 5.3.3 TSV Metallization 71 5.3.4 Overburden Removal by CMP 72 5.3.5 TSV Anneal 73 5.3.6 Temporary Carrier Wafer Bonding and Debonding 74 5.3.7 Wafer Thinning and TSV Reveal 74 5.4 Yield and Reliability 75 References 76 6 TSV Unit Processes and Integration 79 Sesh Ramaswami 6.1 Introduction 79 6.2 TSV Process Overview 80 6.3 TSV Unit Processes 82 6.3.1 Etching 82 6.3.2 Insulator Deposition with CVD 83 6.3.3 Metal Liner/Barrier Deposition with PVD 84 6.3.4 Via Filling by ECD of Copper 84 6.3.5 CMP of Copper 85 6.3.6 Temporary Bonding between Carrier and Device Wafer 86 6.3.7 Wafer Backside Thinning 86 6.3.8 Backside RDL 87 6.3.9 Metrology, Inspection, and Defect Review 87 6.4 Integration and Co-optimization of Unit Processes in Via Formation Sequence 88 6.5 Co-optimization of Unit Processes in Backside Processing and Via-Reveal Flow 89 6.6 Integration and Co-optimization of Unit Processes in Via-Last Flow 91 6.7 Integration with Packaging 92 6.8 Electrical Characterization of TSVs 92 6.9 Conclusions 96 References 97 7 TSV Formation at ASET 99 Hiroaki Ikeda 7.1 Introduction 99 7.2 Via-Last TSV for Both D2D and W2W Processes in ASET 103 7.3 TSV Process for D2D 105 7.3.1 Front-Side Bump Forming 106 7.3.2 Attach WSS and Thinning 106 7.3.3 Deep Si Etching from the Backside 107 7.3.4 Liner Deposition 107 7.3.5 Removal of SiO 2 at the Bottom of Via 107 7.3.6 Barrier Metal and Seed Layer Deposition by PVD 110 7.3.7 Cu Electroplating 110 7.3.8 Cmp 110 7.3.9 Backside Bump 111 7.3.10 Detach WSS 111 7.3.11 Dicing 112 7.4 TSV Process for W2W 113 7.4.1 Polymer Layer Coat and Development 114 7.4.2 Barrier Metal and Seed Layer Deposition 114 7.4.3 Cu Plating 114 7.4.4 CMP 115 7.4.5 First W2W Stacking (Face to Face) 116 7.4.6 Wafer Thinning and Deep Si Etching 116 7.4.7 TSV Liner Deposition and SiO2 Etching of Via Bottom 117 7.4.8 Barrier Metal and Seed Layer Deposition and Cu Plating 117 7.4.9 CMP 117 7.4.10 Next W2W Stacking 118 7.5 Conclusions 119 References 119 8 Laser-Assisted Wafer Processing: New Perspectives in Through-Substrate Via Drilling and Redistribution Layer Deposition 121 Marc B. Hoppenbrouwers, Gerrit Oosterhuis, Guido Knippels, and Fred Roozeboom 8.1 Introduction 121 8.2 Laser Drilling of TSVs 121 8.2.1 Cost of Ownership Comparison 121 8.2.2 Requirements for an Industrial TSV Laser Driller 123 8.2.3 Drilling Strategy 124 8.2.3.1 Mechanical 124 8.2.3.2 Optical 125 8.2.4 Experimental Drilling Results 126 8.3 Direct-Write Deposition of Redistribution Layers 126 8.3.1 Introduction on Redistribution Layers 126 8.3.2 Direct-Write Characteristics 127 8.3.3 Direct-Write Laser-Induced Forward Transfer 128 8.3.4 LIFT Results 130 8.4 Conclusions and Outlook 131 References 132 9 Temporary Bonding Material Requirements 135 Rama Puligadda 9.1 Introduction 135 9.2 Technology Options 136 9.2.1 Tapes and Waxes 136 9.2.2 Chemical Debonding 136 9.2.3 Thermoplastic Bonding Material and Slide Debonding 136 9.2.4 Debonding Using Release Layers 137 9.3 Requirements of a Temporary Bonding Material 138 9.4 Considerations for Successful Processing 139 9.4.1 Application of the Temporary Bonding Adhesive to the Device Wafer and Bonding to Carrier 139 9.4.2 Moisture and Contaminants on Surface 139 9.4.3 Total Thickness Variation 140 9.4.4 Squeeze Out 140 9.5 Surviving the Backside Process 141 9.5.1 Edge Trimming 142 9.5.2 Edge Cleaning 142 9.5.3 Temperature Excursions in Plasma Processes 143 9.5.4 Wafer Warpage due to CTE Mismatch 143 9.6 Debonding 144 9.6.1 Debonding Parameters in Slide-Off Debonding 144 9.6.2 Mechanical Damage to Interconnects 144 References 145 10 Temporary Bonding and Debonding – An Update on Materials and Methods 147 Wilfried Bair 10.1 Introduction 147 10.2 Carrier Selection for Temporary Bonding 148 10.3 Selection of Temporary Bonding Adhesives 151 10.4 Bonding and Debonding Processes 152 10.5 Equipment and Process Integration 155 References 156 11 ZoneBOND 1 : Recent Developments in Temporary Bonding and Room-Temperature Debonding 159 Thorsten Matthias, J€urgen Burggraf, Daniel Burgstaller, Markus Wimplinger, and Paul Lindner 11.1 Introduction 159 11.2 Thin Wafer Processing 159 11.2.1 Thin Wafer Total Thickness Variation 161 11.2.2 Wafer Alignment 163 11.3 ZoneBOND Room-Temperature Debonding 163 11.4 Conclusions 165 References 166 12 Temporary Bonding and Debonding at TOK 167 Shoji Otaka 12.1 Introduction 167 12.2 Zero Newton Technology 168 12.2.1 The Wafer Bonder 168 12.2.2 The Wafer Debonder 170 12.2.3 The Wafer Bonder and Debonder Equipment Lineups 170 12.2.4 Adhesives 170 12.2.5 Integration Process Performance 172 12.3 Conclusions 174 References 174 13 The 3MTM Wafer Support System (WSS) 175 Blake Dronen and Richard Webb 13.1 Introduction 175 13.2 System Description 175 13.3 General Advantages 177 13.4 High-Temperature Material Solutions 178 13.5 Process Considerations 180 13.5.1 Wafer and Adhesive Delamination 180 13.5.2 LTHC Glass Delamination 181 13.6 Future Directions 181 13.6.1 Thermal Stability 181 13.6.2 Elimination of Adhesion Control Agents 182 13.6.3 Laser-Free Release Layer 183 13.7 Summary 183 Reference 184 14 Comparison of Temporary Bonding and Debonding Process Flows 185 Matthew Lueck 14.1 Introduction 185 14.2 Studies of Wafer Bonding and Thinning 186 14.3 Backside Processing 186 14.4 Debonding and Cleaning 188 References 189 15 Thinning, Via Reveal, and Backside Processing – Overview 191 Eric Beyne, Anne Jourdain, and Alain Phommahaxay 15.1 Introduction 191 15.2 Wafer Edge Trimming 192 15.3 Thin Wafer Support Systems 194 15.3.1 Glass Carrier Support System with Laser Debonding Approach 196 15.3.2 Thermoplastic Glue Thin Wafer Support System – Thermal Slide Debondable System 196 15.3.3 Room-Temperature, Peel-Debondable Thin Wafer Support Systems 197 15.4 Wafer Thinning 198 15.5 Thin Wafer Backside Processing 202 15.5.1 Via-Middle Thin Wafer Backside Processing: “Via-Reveal” Process 202 15.5.1.1 Mechanical Via Reveal 202 15.5.1.2 “Soft” Via Reveal 202 15.5.2 Via-Last Thin Wafer Backside Processing 203 References 205 16 Backside Thinning and Stress-Relief Techniques for Thin Silicon Wafers 207 Christof Landesberger, Christoph Paschke, Hans-Peter Sp€ohrle, and Karlheinz Bock 16.1 Introduction 207 16.2 Thin Semiconductor Devices 207 16.3 Wafer Thinning Techniques 208 16.3.1 Wafer Grinding 209 16.3.2 Wet-Chemical Spin Etching 210 16.3.3 CMP Polishing 211 16.3.4 Plasma Dry Etching 212 16.3.5 Dry Polish 213 16.3.6 Chemical–Mechanical Grinding (CMG) 214 16.4 Fracture Tests for Thin Silicon Wafers 214 16.5 Comparison of Stress-Relief Techniques for Wafer Backside Thinning 216 16.6 Process Flow for Wafer Thinning and Dicing 220 16.7 Summary and Outlook on 3D Integration 222 References 223 17 Via Reveal and Backside Processing 227 Mitsumasa Koyanagi and Tetsu Tanaka 17.1 Introduction 227 17.2 Via Reveal and Backside Processing in Via-Middle Process 227 17.3 Backside Processing in Back-Via Process 232 17.4 Backside Processing and Impurity Gettering 234 17.5 Backside Processing for RDL Formation 237 References 239 18 Dicing, Grinding, and Polishing (Kiru Kezuru and Migaku) 241 Akihito Kawai 18.1 Introduction 241 18.2 Grinding and Polishing 241 18.2.1 Grinding General 241 18.2.1.1 Grinding Method 241 18.2.1.2 Rough Grinding and Fine Grinding 242 18.2.1.3 The Grinder Polisher 243 18.2.2 Thinning 243 18.2.2.1 Stress Relief 245 18.2.2.2 Die Attach Film 246 18.2.2.3 All-in-One System 246 18.2.2.4 Dicing Before Grinding 246 18.2.3 Grinding Topics for 3DIC Such as TSV Devices 246 18.2.3.1 Wafer Support System 246 18.2.3.2 Edge Trimming 247 18.2.3.3 Grinding to Improve Flatness 248 18.2.3.4 Higher Level of Cleanliness 248 18.2.3.5 Via Reveal 249 18.2.3.6 Planarization 249 18.3 Dicing 250 18.3.1 Blade Dicing General 250 18.3.1.1 Dicing Method 250 18.3.1.2 Blade Dicing Point 250 18.3.1.3 Blade 251 18.3.1.4 Optimization of Process Control 252 18.3.1.5 Dicer 252 18.3.1.6 Dual Dicing Applications 252 18.3.2 Thin Wafer Dicing 253 18.3.3 Low-k Dicing 254 18.3.4 Other Laser Dicing 254 18.3.4.1 Ablation 254 18.3.4.2 Laser Full Cut Application 255 18.3.4.3 Stealth Dicing (SD) 256 18.3.5 Dicing Topics for 3D-IC Such as TSV 257 18.3.5.1 Cutting of Chip on Chip (CoC) and Chip on Wafer (CoW) 258 18.3.5.2 Singulation of CoW and Wafer on Wafer (WoW) 259 18.4 Summary 260 Further Reading 260 19 Overview of Bonding and Assembly for 3D Integration 261 James J.-Q. Lu, Dingyou Zhang, and Peter Ramm 19.1 Introduction 261 19.2 Direct, Indirect, and Hybrid Bonding 262 19.3 Requirements for Bonding Process and Materials 263 19.4 Bonding Quality Characterization 267 19.5 Discussion of Specific Bonding and Assembly Technologies 269 19.6 Summary and Conclusions 273 References 274 20 Bonding and Assembly at TSMC 279 Douglas C.H. Yu 20.1 Introduction 279 20.2 Process Flow 280 20.3 Chip-on-Wafer Stacking 281 20.4 CoW-on-Substrate (CoWoS) Stacking 283 20.5 CoWoS Versus CoCoS 283 20.6 Testing and Known Good Stacks (KGS) 284 20.7 Future Perspectives 285 References 285 21 TSV Packaging Development at STATS ChipPAC 287 Rajendra D. Pendse 21.1 Introduction 287 21.2 Development of the 3DTSV Solution for Mobile Platforms 289 21.3 Alternative Approaches and Future Developments 293 References 294 22 Cu–SiO2 Hybrid Bonding 295 Léa Di Cioccio, S. Moreau, Loïc Sanchez, Floriane Baudin, Pierric Gueguen, Sebastien Mermoz, Yann Beilliard, and Rachid Taibi 22.1 Introduction 295 22.2 Blanket Cu–SiO2 Direct Bonding Principle 296 22.2.1 Chemical–Mechanical Polishing Parameters 296 22.3 Aligned Bonding 299 22.3.1 Wafer-to-Wafer Bonding 299 22.3.2 Die-to-Wafer Bonding in Pick-and-Place Equipment 299 22.3.3 Die-to-Wafer by the Self-Assembly Technique 300 22.4 Blanket Metal Direct Bonding Principle 302 22.5 Electrical Characterization 304 22.5.1 Wafer-to-Wafer and Die-to-Wafer Copper-Bonding Electrical Characterization 304 22.5.2 Reliability 307 22.5.3 Thermal Cycling 307 22.5.4 Stress Voiding (SIV) Test on 200 °C Postbonding Annealed Samples 308 22.5.5 Package-Level Electromigration Test 309 22.6 Conclusions 310 References 311 23 Bump Interconnect for 2.5D and 3D Integration 313 Alan Huffman 23.1 History 313 23.2 C4 Solder Bumps 315 23.3 Copper Pillar Bumps 316 23.4 Cu Bumps 319 23.5 Electromigration 320 References 322 24 Self-Assembly Based 3D and Heterointegration 325 Takafumi Fukushima and Jicheol Bea 24.1 Introduction 325 24.2 Self-Assembly Process 325 24.3 Key Parameters of Self-Assembly on Alignment Accuracies 327 24.4 How to Interconnect Self-Assembled Chips to Chips or Wafers 328 24.4.1 Flip-Chip-to-Wafer 3D Integration 329 24.4.2 Reconfigured-Wafer-to-Wafer 3D Integration 331 References 332 25 High-Accuracy Self-Alignment of Thin Silicon Dies on Plasma-Programmed Surfaces 335 Christof Landesberger, Mitsuru Hiroshima, Josef Weber, and Karlheinz Bock 25.1 Introduction 335 25.2 Principle of Fluidic Self-Alignment Process for Thin Dies 335 25.3 Plasma Programming of the Surface 336 25.4 Preparation of Materials for Self-Alignment Experiments 337 25.5 Self-Alignment Experiments 338 25.6 Results of Self-Alignment Experiments 339 25.7 Discussion 341 25.8 Conclusions 342 References 343 26 Challenges in 3D Fabrication 345 Douglas C.H. Yu 26.1 Introduction 345 26.2 High-Volume Manufacturing for 3D Integration 346 26.3 Technology Challenges 346 26.4 Front-Side and Backside Wafer Processes 346 26.5 Bonding and Underfills 350 26.6 Multitier Stacking 352 26.7 Wafer Thinning and Thin Die and Wafer Handling 353 26.8 Strata Packaging and Assembly 356 26.9 Yield Management 359 26.10 Reliability 360 26.11 Cost Management 362 26.12 Future Perspectives 362 References 364 27 Cu TSV Stress: Avoiding Cu Protrusion and Impact on Devices 365 Eric Beyne, Joke De Messemaeker, and Wei Guo 27.1 Introduction 365 27.2 Cu Stress in TSV 365 27.3 Mitigation of Cu Pumping 368 27.4 Impact of TSVs on FEOL Devices 371 References 378 28 Implications of Stress/Strain and Metal Contamination on Thinned Die 379 Kangwook Lee and Mariappan Murugesan 28.1 Introduction 379 28.2 Impacts of Cu Contamination on Device Reliabilities in Thinned 3DLSI 379 28.3 Impacts of Local Stress and Strain on Device Reliabilities in Thinned 3DLSI 386 28.3.1 Microbump-Induced Stresses in Stacked LSIs 387 28.3.2 Microbump-Induced TMS in LSI 388 28.3.3 Microbump-Induced LMS 389 References 391 29 Metrology Needs for 2.5D/3D Interconnects 393 Victor H. Vartanian, Richard A. Allen, Larry Smith, Klaus Hummler, Steve Olson, and Brian Sapp 29.1 Introduction: 2.5D and 3D Reference Flows 393 29.2 TSV Formation 394 29.2.1 TSV Etch Metrology 395 29.2.2 Liner, Barrier, and Seed Metrology 397 29.2.3 Copper Fill Metrology (TSV Voids) 399 29.2.4 Cross-Sectional SEM (Focused Ion Beam Milling Sample Preparation) 400 29.2.5 X-Ray Microscopy and CT Inspection 400 29.2.6 Stress Metrology in Cu and Si 402 29.3 MEOL Metrology 404 29.3.1 Edge Trim Inspection 405 29.3.2 Bond Voids and Bond Strength Metrology 406 29.3.2.1 Acoustic Microscopy: Operation 407 29.3.2.2 Acoustic Microscopy for Defect Inspection and Review 407 29.3.2.3 Other Bond Void Detection Techniques 408 29.3.3 Bond Strength Metrology 409 29.3.4 Bonded Wafer Thickness, Bow, and Warp 410 29.3.4.1 Chromatic White Light 411 29.3.4.2 Infrared Interferometry 412 29.3.4.3 White Light Interferometry (or Coherence Scanning Interferometry) 414 29.3.4.4 Laser Profiling 415 29.3.4.5 Capacitance Probes 416 29.3.4.6 Differential Backpressure Metrology 417 29.3.4.7 Acoustic Microscopy for Measuring Bonded Wafer Thickness 417 29.3.5 TSV Reveal Metrology 418 29.4 Assembly and Packaging Metrology 420 29.4.1 Wafer-Level C4 Bump and Microbump Metrology and Inspection 421 29.4.2 Package-Level Inspection: Scanning Acoustic Microscopy 422 29.4.3 Package-Level Inspection: X-Rays 424 29.5 Summary 426 References 427 Index 431

    Out of stock

    £128.66

  • Photonic Packaging Sourcebook: Fiber-Chip

    Springer-Verlag Berlin and Heidelberg GmbH & Co. KG Photonic Packaging Sourcebook: Fiber-Chip

    1 in stock

    Book SynopsisThis book serves as a guide on photonic assembly techniques. It provides an overview of today's state-of-the-art technologies for photonic packaging experts and professionals in the field. The text guides the readers to the practical use of optical connectors. It also assists engineers to find a way to an effective and inexpensive set-up for their own needs. In addition, many types of current industrial modules and state-of-the-art applications from single fiber to multi fiber are described in detail. Simulation techniques such as FEM, BPM and ray tracing are explained in depth. Finally, all recent reliability test procedures for datacom and telecom modules are illustrated in combination with related standardization aspects.Table of ContentsIntroduction into Photonic Packaging.- Optical waveguides.- Optical Mode Field Adaptation.- Fiber-chip-coupling.- RF Lines.- Soldering, Adhesive Bonding, Bonding.- Optical Coneection Technology.- Active Adjustment Techniques.- Passive Adjustment Techniques.- Optical Motherboard.- Fiber-Optic Modules.- From Chip Design to the Optimum Package.- Reliability Tests.- Abbreviations.- Index.

    1 in stock

    £123.49

  • Bussysteme in der Fahrzeugtechnik: Protokolle,

    Springer Fachmedien Wiesbaden Bussysteme in der Fahrzeugtechnik: Protokolle,

    15 in stock

    Book SynopsisDieses Fachbuch gibt einen Überblick über die in der Kfz-Elektronik verbreiteten Bussysteme wie CAN, FlexRay, LIN oder MOST, deren Protokolle und die Softwarearchitektur moderner Steuergeräte. Die Beschreibung erfolgt aus der Sicht von Ingenieuren, die diese Systeme in der Praxis einsetzen und in Fahrzeuge integrieren müssen. Ausführlich wird auf die höheren Schichten der ISO, SAE und ASAM-Transport- und Diagnoseprotokolle sowie deren Anwendung eingegangen. Neben der Kommunikation wird die Softwarearchitektur der Steuergeräte mit Betriebssystem und Basissoftware vorgestellt, wie sie im Rahmen von AUTOSAR, OSEK/VDX und HIS definiert werden.Neu in dieser Auflage wurden CAN FD, Automotive Ethernet, OTX und WWH-OBD aufgenommen.Table of ContentsBussysteme, Protokolle, Standards und Softwarearchitektur.- Physical und Data Link Layer für CAN, CAN FD, LIN, FlexRay, MOST, Automotive Ethernet, SAE J1939, K-Line, SENT, PSI5, ASRB, DSI, Car-to-Car.- Transportprotokolle.- Diagnoseprotokolle UDS, KWP 2000, OBD, DoIP.- Applikation und Diagnose mit ASAM FIBEX, ODX, OTX.- Softwarestandards: OSEK, HIS, AUTOSAR, Flashlader.- Zeitverhalten von Bus und Betriebssystem.- Werkzeuge, Anwendungen und Einsatzgebiete.

    15 in stock

    £104.49

  • Elektrisch leitfähige Polymerwerkstoffe: Ein

    Springer Fachmedien Wiesbaden Elektrisch leitfähige Polymerwerkstoffe: Ein

    1 in stock

    Book SynopsisUlrich Leute beschreibt sowohl polymere Materialien, die elektrische Leitfähigkeit ermöglichen, als auch deren wichtigsten Anwendungsfelder. Die genannten Technik- und Wissenschaftsfelder sind inhaltlich sehr weit voneinander entfernt verortet. Daher beschränkt sich diese Darstellung nicht nur auf ein einziges Arbeitsgebiet, sondern ist fachübergreifend angelegtTable of Contents

    1 in stock

    £9.99

  • Induktivitäten in der Leistungselektronik:

    Springer Fachmedien Wiesbaden Induktivitäten in der Leistungselektronik:

    1 in stock

    Book SynopsisInduktive Bauelemente spielen eine Schlüsselrolle bei der Entwicklung von Netzgeräten, Wechselrichtern und anderen leistungselektronischen Systemen. Das Lehrbuch beschäftigt sich intensiv mit der Berechnung der parasitären Eigenschaften von Spulen und Transformatoren in Abhängigkeit von ausgewähltem Kern (Geometrie und Material), Wickelgut (Runddraht, Litze, Folie) und internem Aufbau, d.h. Positionierung der Wicklungen im Wickelfenster. Schwerpunkte bilden die unterschiedlichen Verlustmechanismen in Kern und Wicklung, die induktiven und kapazitiven Kopplungen sowie das EMV-Verhalten dieser induktiven Komponenten.Table of ContentsGrundlegende Zusammenhänge.- Die Induktivität und Kapazität von Luftspulen.- Die Wicklungsverluste und ihre Berechnung.- Kerne und Kernmaterialien.- Die Kernverluste und ihre Berechnung.- Einfluss des Kerns auf die Wicklungsverluste.- Transformatoren und ihre parasitären Eigenschaften.- EMV-Aspekte bei induktiven Komponenten.

    1 in stock

    £66.49

  • Sensoren für die Prozess- und Fabrikautomation:

    Springer Fachmedien Wiesbaden Sensoren für die Prozess- und Fabrikautomation:

    Out of stock

    Book SynopsisDas inhaltlich erweiterte und in Praxis wie Ausbildung gut aufgenommene Buch bietet einen Überblick über physikalische Grundlagen und Funktionen von Sensoren in der Fabrikautomation. Es ist nach Aufgaben von Sensoren gegliedert und zeigt an vielen Beispielen Wirkungsweise und Anwendung, auch in der Robotik. Für Begriffe der Sensorik wird die englische Übersetzung angegeben. Im Minilexikon werden 264 Fachtermini erklärt.Table of ContentsSinnesorgane der Technik.- Sensoren zur Positions- und Füllstandserfassung (elektromechanisch, pneumatisch, induktiv, kapazitiv, magnetinduktiv, optoelektronisch, mit Ultraschall, Kernstrahlung und Mikrowellen).- Sensoren für Schweißroboter, mobile Roboter und Endeffektoren.- Sensoren für die Bohrerbruchkontrolle und den Verschleiß von Zerspanungswerkzeugen.- Erfassung von Kraft, Moment, Masse, Beschleunigung, Geschwindigkeit, Schwingung.- Erfassung von Druck, Durchfluss und Feuchte.- Sensoren der Kontakt- und Strahlungsthermometrie.- Sensoren für Wege und Winkel (analog, digital, Distanz, Neigung).- Abbildung und Erkennung von Objekten (optisch-visuell, nichtoptisch).- Erfassung chemischer und biologischer Stoffgrößen (Gaskonzentration, Explosionsschutz).- Sensorvernetzung (Grundlagen, Bussysteme).- Fachbegriffe.

    Out of stock

    £75.99

  • Zuverlässige Bauelemente für elektronische

    Springer Fachmedien Wiesbaden Zuverlässige Bauelemente für elektronische

    1 in stock

    Book SynopsisSpeicher, Mikroprozessoren, Opto-, MEMS- und NEMS-Bauteile zusammen mit den passiven Komponenten sind das Hauptthema des Buches. Praktische Methoden zur Untersuchung der Zuverlässigkeit sind ergänzt durch umfangreiche Tabellen und veranschaulicht durch zahlreiche Diagramme. Damit erhält der Leser präzise, praxisnah und umfassend sämtliche Zuverlässigkeitsaspekte einfacher und komplexer elektronischer Bauelemente - von der Fehlerphysik über die Prüffeldpraxis und Ausfallmechanismen bis zur Qualitätsüberwachung.Table of ContentsZuverlässigkeit einbauen.- Verpackungstechnologien und Zuverlässigkeit.- Memristor, der Speicherwiderstand.- Test und Testbarkeit integrierter Schaltungen.- Zuverlässigkeit diskreter passiver Bauelemente.- Zuverlässigkeit von Leistungsbauelementen.- Zuverlässigkeit monolithisch integrierter Schaltungen.- Aspekte der Zuverlässigkeit von Halbleiterspeichern und Mikroprozessoren.- Zuverlässigkeit optoelektronischer Komponenten.- Zuverlässigkeit von Mikro- und Nanosystemen.- Ausfallanalyse.

    1 in stock

    £98.99

  • Partielle Riccati-Differenzialgleichungen

    Springer Fachmedien Wiesbaden Partielle Riccati-Differenzialgleichungen

    1 in stock

    Book SynopsisReiner Thiele leitet die Lösungen partieller Riccati-Differenzialgleichungen her und zeigt den Zusammenhang zwischen allgemeinem Integral und singulärer Lösung auf. Dazu appliziert er eine neue Zerlegungsmethode dieser nichtlinearen Differenzialgleichungen (DGL) in jeweils zwei lineare Gleichungen. Nach der Bestimmung der Eigenwerte liegen die Lösungen vor, die bei Faraday-Effekt-Stromsensoren auftreten und durch eine lineare Beziehung zwischen Messgröße und Messwert gekennzeichnet sind. Praxisrelevante Beispiele für Messgrößen und Messwerte beweisen die große Applikationsbreite der patentierten Faraday-Effekt-Stromsensoren des Autors.Der AutorProf. Dr.-Ing. Reiner Thiele lehrte an der Hochschule Zittau/Görlitz und lehrt an der Staatlichen Studienakademie Bautzen.Table of Contents

    1 in stock

    £9.99

  • Passive elektronische Bauelemente: Aufbau,

    Springer Fachmedien Wiesbaden Passive elektronische Bauelemente: Aufbau,

    1 in stock

    Book SynopsisDieses Buch richtet sich an alle, die ein umfassendes Wissen über Herstellung, Aufbau, Eigenschaften, Funktionsweise und Einsatz passiver elektronischer Bauelemente benötigen. Bei deren Anwendung sind viele technische Daten zu beachten, welche dieses Buch zusammen mit Berechnungsgrundlagen zur Verfügung stellt. Theoretische und physikalische Grundlagen ergeben die Basis für Aufbauformen und Herstellungsverfahren. Die Eigenschaften von Bauelementen werden durch die angegebenen Größen und Charakteristiken verständlich, ihre Verwendung verdeutlichen Einsatzbeispiele, auch unter Betrachtung hochfrequenztechnischer Merkmale. Das Werk kann in der Lehre, im Studium sowie als Nachschlagewerk in der Praxis verwendet werden.Table of ContentsMaterialeigenschaften,- Festwiderstände, Potenziometer.- Veränderliche, nichtlineare Widerstände.- Durch Dehnung veränderbarer Widerstand.- Magnetfeldabhängiger Widerstand.- Kondensatoren.- Induktivitäten.- Transformatoren.- Elektrische Leitungen.- Lichtwellenleiter.- Hohlleiter.

    1 in stock

    £61.74

  • Magnetische Bauelemente: Grundlagen und

    Springer Fachmedien Wiesbaden Magnetische Bauelemente: Grundlagen und

    Out of stock

    Book SynopsisDas Buch behandelt Methoden zur Beschreibung und Gestaltung elektromagnetischer Bauelemente. Dabei werden sowohl lineare als auch nichtlineare Bauelemente behandelt. Für elektrische Simulationen werden auf diese Weise die erforderlichen Ersatzschaltbilder abgeleitet und eine allgemeine Methodik entwickelt. Einflussmöglichkeiten auf Eigenschaften über Materialauswahl, Wicklungsgestaltung und Vormagnetisierung von Abschnitten werden behandelt. Umfangreich wird auf messtechnische Charakterisierung, Modellbildung sowie Fehlermöglichkeiten und Modellgrenzen eingegangen. Im letzten Kapitel werden Beispiele erörtert.Table of ContentsMagnetostatik und Magnetodynamik.- Magnetische Werkstoffeigenschaften.- Optimierung weichmagnetischer induktiver Bauteile.- Transformation magnetischer und elektrischer Kreise.- Modellierung von Magnetfeldabschnitten.- Messtechnische Charakterisierung von induktiven Bauelementen.- Scheinleistung und Volumen bei induktiven Bauelementen.- Approximation empirischer Kennlinien.- Applikationsbeispiele .

    Out of stock

    £32.99

  • Aktive elektronische Bauelemente: Aufbau,

    Springer Fachmedien Wiesbaden Aktive elektronische Bauelemente: Aufbau,

    1 in stock

    Book SynopsisDas Werk bietet ein umfangreiches Wissen über diskrete und integrierte Bauelemente der Halbleitertechnik. Beim Entwurf elektronischer Schaltungen sind gründliche Kenntnisse über eingesetzte Bauelemente erforderlich, um sowohl technisch als auch wirtschaftlich beste Lösungen zu finden und fehlerfreie Produkte zu realisieren. Als Basis werden die theoretischen und physikalischen Grundlagen der Halbleitertechnik vermittelt. Für alle Halbleiter-Bauelemente werden Aufbau, Wirkungsweise, Kenngrößen, Eigenschaften und Charakteristiken erläutert. Mögliche Anwendungen werden unter Bezug auf die Praxis aufgezeigt. Das Buch kann im Studium, in der Lehre sowie als Nachschlagewerk in der Praxis verwendet werden.Table of ContentsGrundlagen der Halbleiter.- pn-Übergang.- Halbleiterdioden.- Bipolare Transistoren.- Feldeffekttransistoren.- Thyristoren.- Operationsverstärker.- Grundlagen integrierter Halbleiterschaltungen.- Halbleiterspeicher.- Anwendungsspezifische integrierte Bausteine.

    1 in stock

    £75.99

  • Optische Signale und Systeme

    Springer Fachmedien Wiesbaden Optische Signale und Systeme

    1 in stock

    Book SynopsisReiner Thiele entwickelt eine neue Theorie für optische Nachrichtensysteme, die ohne die Maxwell-Gleichungen der Elektrotechnik auskommt. Dazu definiert er charakteristische Momente und Funktionen für die Systemelemente einer Punkt-Punkt-Verbindung. Außerdem schlägt der Autor Dreieck-Impulse als Modulationssignal vor. Dadurch entstehen inverse Gabor-Wavelets im Lichtwellenleiter, mit denen die Signalübertragung erfolgt. Dies führt zu effizienten schaltungstechnischen Lösungen an den Endstellen der Übertragungsstrecke. Damit ergibt sich schließlich ein einfaches Verfahren zur Signal-Rekonstruktion im Empfänger.Der Autor: Prof. Dr.-Ing. Reiner Thiele lehrte an der Hochschule Zittau/Görlitz und unterrichtet derzeit an der Staatlichen Studienakademie Bautzen.Table of ContentsCharakteristische Momente und Funktionen der Laserdiode.- Feldverteilung als charakteristische Ortsfunktion und Impulsantwort als charakteristische Zeitfunktion des Lichtwellenleiters (LWL).- Übertragungs-Gleichung mit inversen Gabor-Wavelets.- Charakteristische Momente und Funktionen der Fotodiode und Signal-Rekonstruktion.

    1 in stock

    £9.99

  • Interleaving Concepts for Digital-to-Analog

    Springer Fachmedien Wiesbaden Interleaving Concepts for Digital-to-Analog

    1 in stock

    Book SynopsisModern complementary metal oxide semiconductor (CMOS) digital-to-analog converters (DACs) are limited in their bandwidth due to technological constraints. These limitations can be overcome by parallel DAC architectures, which are called interleaving concepts. Christian Schmidt analyzes the limitations and the potential of two innovative DAC interleaving concepts to provide the basis for a practical implementation: the analog multiplexing DAC (AMUX-DAC) and the frequency interleaving DAC (FI-DAC). He presents analytical and discrete-time models as a theoretical foundation and develops digital signal processing (DSP) algorithms to compensate the analog impairments. Further, he quantifies the impact of various limiting parameters with numerical simulations and verifies both concepts in laboratory experiments.About the Author: Christian Schmidt works at the Fraunhofer Heinrich-Hertz-Institute, Berlin, Germany, on innovative solutions for broadband signal generation in the field of optical communications. The studies for his dissertation were carried out at the Technische Universität Berlin and at the Fraunhofer Heinrich-Hertz-Institute, both Berlin, Germany.Table of ContentsDAC Fundamentals and Interleaving Concepts.- Time Interleaving DAC.- Analog Multiplexing DAC.- Frequency Interleaving DAC.

    1 in stock

    £94.99

  • Modellierung, Analyse und Simulation elektrischer

    Springer Fachmedien Wiesbaden Modellierung, Analyse und Simulation elektrischer

    1 in stock

    Book SynopsisDieses Lehrbuch vermittelt Grundwissen zur Lösung von Problemen der Elektrotechnik, der Antriebstechnik und der Mechatronik mit Hilfe des mathematischen Expertensystems Maple™ und des objektorientierten Simulationssystems MapleSim™. Der Autor stellt zunächst Maple™ in konzentrierter Form vor. Danach geht er ausführlich auf die Ermittlung analytischer und numerischer Lösungen von Differentialgleichungen mit Maple™ ein. Der Modellierung und Analyse elektrischer und mechanischer Systeme mit Unterstützung durch Maple™ sowie komplexeren Anwendungsbeispielen sind die folgenden Kapitel des Buches gewidmet. Ausführlich beschrieben werden auch das objektorientierte Modellieren und Simulieren mit MapleSim™ und die Zusammenarbeit von Maple™ mit MapleSim™, Matlab™ und Scilab™.Table of ContentsEinführung in Maple™.- Lösen von Differentialgleichungen.- Modellierung und Analyse elektrischer und mechanischer Systeme.- Laplace-Transformation.- Netzwerkberechnung.- Ortskurven.- Ausgleichsvorgänge.- Schwingungsberechnung.- Analyse und Simulation von Antriebssystemen.- Modellierung von Nichtlinearitäten, diskrete Approximation.- Objektorientierte Modellierung und Simulation mit MapleSim™.- Brücken von Maple™ zu Matlab®/Simulink® und Scilab™/Xcos.

    1 in stock

    £32.29

  • Eingebettete Systeme: Grundlagen Eingebetteter

    Springer Fachmedien Wiesbaden Eingebettete Systeme: Grundlagen Eingebetteter

    Out of stock

    Book SynopsisEin Alleinstellungsmerkmal dieses Open-Access-Lehrbuchs ist die umfassende Einführung in das Grundlagenwissen über eingebettete Systeme mit Anwendungen in cyber-physischen Systemen und dem Internet der Dinge. Es beginnt mit einer Einführung in das Gebiet und eine Übersicht über Spezifikationsmodelle und -sprachen für eingebettete und cyber-physikalische Systeme. Es gibt einen kurzen Überblick über die für solche Systeme verwendeten Hardware-Geräte und stellt die Grundlagen der Systemsoftware für eingebettete Systeme vor, einschließlich Echtzeit-Betriebssystemen. Der Autor erörtert auch Evaluierungs- und Validierungstechniken für eingebettete Systeme und gibt einen Überblick über Techniken zur Abbildung von Anwendungen auf Ausführungsplattformen, inklusive Multi-Core-Plattformen. Eingebettete Systeme müssen unter engen Randbedingungen arbeiten, daher enthält das Buch auch einen ausgewählten Satz von Optimierungstechniken, mit einem Schwerpunkt bei Software-Optimierungstechniken. Das Buch schließt mit einer kurzen Übersicht über das Testen. Die vierte Auflage wurde aktualisiert und überarbeitet, um neue Trends und Technologien zu berücksichtigen, wie z. B. die Bedeutung von cyber-physischen Systemen (CPS) und dem Internet der Dinge (IoT), die Entwicklung von Single-Core-Prozessoren hin zu Multi-Core-Prozessoren und die zunehmende Bedeutung von Energieeffizienz und thermischen Fragen.Table of ContentsEinleitung - Spezifikation und Modellierung - Hardware eingebetteter Systeme - Systemsoftware - Bewertung und Validierung - Abbildung von Anwendungen (Scheduling) - Optimierung - Test

    Out of stock

    £31.49

  • Hochfrequenztechnik: Komponenten und Mess-,

    Springer Fachmedien Wiesbaden Hochfrequenztechnik: Komponenten und Mess-,

    Out of stock

    Book SynopsisDieses Lehr- und Fachbuch vermittelt anschaulich die Grundlagen der HF-Technik, gibt konkrete Beschreibungen für den Entwurf von linearen Komponenten aus Bauteilen wie auch Leitungen für High-Speed- und HF-Schaltungen. Dem Leser wird vermittelt, wie Bauteile modelliert und Schaltungen synthetisiert und optimiert werden. Mit Hilfe frei verfügbarer Simulationssoftware können GHz-Schaltungen selbst entwickelt werden. Viele Übungsbeispiele ermöglichen die Eigenkontrolle des Wissensstandes. Weiterhin werden komplexe nichtlineare Komponenten wie Hochfrequenzmischer, Oszillatoren und Synthesegeneratoren in ihrer Funktionalität dargestellt. Die neuen Mixed-Mode-Streuparameter sowie deren Leitungs- und Schaltungstechnik für Anwendungen der schnellen Digital- und der modernen HF-Technik sind ausführlich beschrieben. Es wird auf Systeme für folgende Bereiche eingegangen: Streuparametermesstechnik, verschiedene Funktechniken, UHF-RFID und Lokalisierung- und Ortung. Dem Leser wird somit ermöglicht, komplexe GHz-Schaltungen insbesondere mit Halbleiter-, SMD- und LTCC-Schaltungen zu entwickeln.Table of ContentsEinführung.- Schaltungstheoretische Grundlagen.- Passive HF-Komponenten aus konzentrierten Bauteilen.- Hochfrequenzleitungen: Theorie, Leitertypen und Anwendungen.- Schaltungstheorie und -synthese mit Gleich- und Gegentaktgrößen.- Resonatoren und Filter.- Hochfrequenzschalter.- Lineare Verstärker und Rauschen.- Modelling, Fitting und Spulenentwurf.- Grundlagen der Systemkonzeption.- Radio Frequency Identification (RFID).- Lokalisierung und Ortung.

    Out of stock

    £34.19

  • Selected Sensor Circuits: From Data Sheet to Simulation

    Springer Selected Sensor Circuits: From Data Sheet to Simulation

    1 in stock

    Book SynopsisThis book shows the steps from data sheets of sensors to the extraction of model parameters for the program PSPICE in order to realize circuit analyses. Physical ENTITIES as temperature, humidity, light, pressure and sound are included by equations. The simulation concerns temperature displays, characteristics of humidity-sensors, light-to-voltage Converters, strain gauges, reed relays and Piezol-electric-sounders US-Converters and SAW ComponentsTable of ContentsNTC- and PTC-Sensors.- Band Gap Reference.- Humidity-Sensors.- RGB-Colour-Sensors.- light barreer.- force sensing resistor.- Hall-Sensor.- Piezoelectric sounder.- self-drive and external drive.- US-transmitter and -receiver.- SAW-Delay-Line

    1 in stock

    £28.49

  • Ausgewählte Sensorschaltungen: Vom Datenblatt zur

    Springer Fachmedien Wiesbaden Ausgewählte Sensorschaltungen: Vom Datenblatt zur

    1 in stock

    Book SynopsisIn diesem Buch werden die Schritte angegeben, mit denen man aus den Datenblättern der Sensor-Hersteller die Modellparameter ermitteln kann, die zu einer Schaltungssimulation benötigt werden. Des Weiteren wird gezeigt, wie dem jeweiligen Sensor die Abhängigkeit von Temperatur, Feuchte, Licht, Druck, Kraft oder Magnetfeld in Gleichungsform aufgeprägt werden kann. Zu Sensorschaltungen wie Bandabstandsquelle, Feuchtesensor, IR-Lichtschranke, DMS-Brücke, piezoelektrischer Summer sowie Anwendungen von US-Wandlern und akustischen Oberflächenwellen-Bauelementen werden die PSPICE-Analysen ausführlich dokumentiert. Die simulierten Sensorschaltungen können für sich und als Ausgangspunkt zu Labormessungen im Rahmen der Bachelor-Ausbildung genutzt werden.Table of ContentsNTC- und PTC-Temperatursensoren.- Bandabstandsquelle.- Feuchtesensoren.- Gabelkoppler.- Farbsensor.- Folien-Kraftsensor als Schalter.- DMS am Baustahl-Biegestab.- Hall-Schalter.- Reed-Relais.- Piezoelektrische Summer.- Ultraschall-Abstandswarner.- Akustische Oberflächenwellen-Verzögerungsleitung.- Akustische Oberflächenwellen-Resonatoren.- Gassensoren.

    1 in stock

    £31.34

  • Sensoren in Wissenschaft und Technik:

    Springer Fachmedien Wiesbaden Sensoren in Wissenschaft und Technik:

    Out of stock

    Book SynopsisDas Buch bietet einen umfassenden Überblick über physikalische Grundlagen, Funktionen und Applikationen von Sensoren. Es ist nach den Aufgabenfeldern von Sensoren gegliedert und zeigt anhand typischer Einsatzbeispiele anschaulich deren Anwendung. Sensorisch erfassbare Messgrößen sind z.B. mechanische, dynamische, thermische sowie elektrische und magnetische. Weiterhin werden auch optische und akustische Sensoren in deren Anwendung im Buch detailliert behandelt. Die Sensor-Signale werden aufgenommen, weiterverarbeitet und in Steuersignale für Aktoren umgewandelt. Solche Sensorsysteme werden ebenfalls vorgestellt.Table of ContentsGrundlagen Sensorsysteme.- Physikalische Effekte zur Sensornutzung.- Sensorisch erfassbare Messgrößen.- Mechanische Messgrößen.- Dynamische Messgrößen.- Thermische Messgrößen.- Elektrische und magnetische Messgrößen.- Optische Messgrößen.- Akustische Messgrößen.- Klimatische und meteorologische Messgrößen.- Chemische Messgrößen.- Biologische und medizinische Messgrößen.

    Out of stock

    £71.24

  • Sensor networks in theory and practice:

    Springer Fachmedien Wiesbaden Sensor networks in theory and practice:

    1 in stock

    Book SynopsisThe book provides an important foundation for understanding the Internet of Things by offering insight into common networking protocols from the microcontroller world and introducing important sensors and other devices, as well as their use and programming. All concepts shown are illustrated with practical circuit and programming examples from the authors' many years of experience. In addition, open libraries for controlling the devices presented in the book are available for readers to download from the publisher's home page. The second edition includes some new devices, especially in the area of networks, a more detailed description of the operating principles of some sensors as well as further tips and tricks for programming.Table of Contents

    1 in stock

    £49.49

© 2026 Book Curl

    • American Express
    • Apple Pay
    • Diners Club
    • Discover
    • Google Pay
    • Maestro
    • Mastercard
    • PayPal
    • Shop Pay
    • Union Pay
    • Visa

    Login

    Forgot your password?

    Don't have an account yet?
    Create account